虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

三极管引脚

  • STM8S105xx_中文资料

    STM8S105xx_中文资料:这本数据手册描述了STM8S105xx基础型系列单片机的特点、引脚分配、电气特性、机械特性和订购信息。 如果需要关于STM8S单片机存储器、寄存器和外设等的详细信息,请参考STM8S系列单片机参考手册(RM0016) 。 如果需要关于内部Flash存储器的编程、擦除和保护的信息,请参考STM8S闪存编程手册(PM0051) 。 如果需要关于调试和SWIM(single wire interface module单线接口模块),请参考STM8SWIM 通信协议和调试模块用户手册(UM0470) 。 如果需要关于STM8 内核的信息,请参考STM8 CPU编程手册(PM0044) 。

    标签: STM 105 xx

    上传时间: 2013-11-03

    上传用户:JasonC

  • 最详细的NIOSII教程

      核心板配置    核心板配置癿FPGA芯片是Cyclone II系列癿EP2C8Q208C,具有8256个LEs,36个M4K RAM blocks (4Kbits plus 512 parity bits),同时具有165,888bit癿RAM,支持18个Embedded multipliers和2个PLL,资源配备十分丰富。实验证明,返款芯片在嵌入NIOS II软核将黑釐开収板癿所有外讴全部跑起来,仅占全部资源癿70-80% ;    核心板同时配备了64Mbit癿SDRAM,对亍运行NIOS软核提供了有力癿保障,返款芯片为时钟频率有143MHz,实验证明,NIOS II软核主频可以平稳运行120MHz,速度迓是相当忚癿;    16Mbit癿配置芯片也为返款核心板增色丌少,丌仅可以存储配置信息,同时迓可以实现NIOS II软件程序存储,你编写癿程序再大也没有后顼乀忧了。    20M癿有源晶振也是必丌可少癿,他是整个系统癿时钟源泉;4个LED对亍调试来说更是提供了径多方便;复位按键,重新配置按键,配置指示灯一个也丌能少;同时支持AS模式和JTAG模式;    除此以外,核心板一个更大的特点是它可以独立亍底板单独运行,为此配备了5V癿电源接口,高质量癿红色开关,为了安全迓加入了自恢复保险丝。当然扩展口是丌能少癿,除了SDRAM占用癿38个IO口外,其他100个IO全部扩展出来,为大家可以迕行自我扩展实验做好了充分癿准备。   四、 下扩展板配置   为了让FPGA収挥它癿强大功能,黑釐开収板为其讴计一款资源丰富癿下扩展板(乀所以叨下扩展板,是因为我们后续迓会有上扩展板)。下面我们就来简单介终一下下扩展板癿资源配置。    支持网络功能,配置ENC28J60网口芯片。ENC28J60是Microchip Technology(美国微芯科技公司)推出癿28引脚独立以太网控刢器。目前市场上大部分以太网控刢器癿封装均赸过80引脚,而符吅IEEE 802.3协议癿ENC28J60叧有28引脚,既能提供相应癿功能,又可以大大简化相关讴计,减小空间;    支持USB功能,配置CH376芯片。CH376 支持USB 讴备方式和USB 主机方式,幵丏内置了USB 途讯协议癿基本固件,内置了处理Mass-Storage海量存储讴备癿与用途讯协议癿固件,内置了SD 卡癿途讯接口固件,内置了FAT16和FAT32 以及FAT12 文件系统癿管理固件,支持常用癿USB 存储讴备(包括U 盘/USB 硬盘/USB 闪存盘/USB 读卡器)和SD 卡(包括标准容量SD 卡和高容量HC-SD 卡以及协议兼容癿MMC 卡和TF 卡);    支持板载128*64的点阵LCD。ST7565P控刢芯片,内置DC/DC电路,途过软件调节对比度。该芯片支持,幵口和串口丟种方式;

    标签: NIOSII 教程

    上传时间: 2013-11-23

    上传用户:ouyangtongze

  • Blackfin嵌入式对称性多处理器的初步技术数据手册

    概要2 个对称的600MHz 高性能Blackfin 内核328K Bytes 片内存储器每个 Blackfin 内核包括:2 个16 位MAC,2 个40 位ALU,4 个8 位视频ALU,以及1 个40 位移位器RISC 式寄存器和指令模型,编程简单,编译环境友好先进的调试、跟踪和性能监视内核电压 0.8V-1.2V,片内调压器可调兼容 3.3V 及2.5V I/O256 引脚Mini-BGA 和297 引脚PBGA 两种封装外设两个并行输入/输出外围接口单元,支持ITU-R 656 视频数据格式,可与ADI 的模拟前端ADC 无缝连接2 个双通道全双工同步串行接口,支持8 个立体声I2S 通道2 个16 通道DMA 控制器和1 个内部存储器DMA 控制器SPI 兼容端口12 个通用32-bit 定时/计数器,支持PWMSPI 兼容端口支持 IrDA 的UART2 个“看门狗”定时器48 个可编程标志引脚1x-63x 倍频的片内PLL

    标签: Blackfin 嵌入式 对称性 多处理器

    上传时间: 2013-11-06

    上传用户:YUANQINHUI

  • nRF24L01无线数据传输电路

    芯片适用,nRF24L01无线数据传输电路,nRF24L01引脚说明及封装图。

    标签: nRF 24L L01 24

    上传时间: 2014-01-15

    上传用户:Jerry_Chow

  • Allegro FPGA System Planner中文介绍

      完整性高的FPGA-PCB系统化协同设计工具   Cadence OrCAD and Allegro FPGA System Planner便可满足较复杂的设计及在设计初级产生最佳的I/O引脚规划,并可透过FSP做系统化的设计规划,同时整合logic、schematic、PCB同步规划单个或多个FPGA pin的最佳化及layout placement,借由整合式的界面以减少重复在design及PCB Layout的测试及修正的过程及沟通时间,甚至透过最佳化的pin mapping、placement后可节省更多的走线空间或叠构。   Specifying Design Intent   在FSP整合工具内可直接由零件库选取要摆放的零件,而这些零件可直接使用PCB内的包装,预先让我们同步规划FPGA设计及在PCB的placement。  

    标签: Allegro Planner System FPGA

    上传时间: 2013-11-06

    上传用户:wwwe

  • 基于MC9S12XHY系列的汽车控制解决方案

            电子发烧友讯: 飞思卡尔是全球嵌入式处理解决方案、高级汽车电子、消费电子、工业控制和网络市场的领导者。从微处理器和微控制器到传感器、模拟集成电路(IC)和连接,我们的技术为创新奠定基础,构建更加环保、安全、健康和互连的世界   MC9S12XHY系列是飞思卡尔公司的经过优化的,汽车16位微控制器产品系列,具有低成本,高性能的特点。该系列是联接低端16位微控制器(如:MC9S12HY系列),和高性能32位解决方案的桥梁。MC9S12XHY系列定位于低端汽车仪器群集应用,它包括支持CAN和LIN/J2602通信,并传送典型的群集请求,如步进失速检测(SSD)和LCD驱动器的步进电机控制。   MC9S12XHY系列具有16位微控制器的所有优点和效率,同时又保持了飞思卡尔公司现有的8位和16位MCU系列的优势,即低成本、低功耗、EMC和代码尺寸效率等优点。与MC9S12HY系列相同,MC9S12XHY系列可以运行16位宽的访问,而不会出现外设和存储器的等待状态。MC9S12XHY系列为100引脚LQFP和112引脚LQFP封装,旨在最大限度地与100LQFP,MC9S12HY系列兼容。除了每个模块具有I/O端口外,还可提供更多的,具有中断功能的I/O端口,具有从停止或等待模式唤醒功能。    图1 MC9S12XHY系列方框图截图

    标签: MC9 S12 XHY MC

    上传时间: 2014-12-31

    上传用户:66666

  • 集成运放应用电路设计360例_王昊

    第1章  集成运放应用电路设计须知 1.1  集成运放简介 1.1.1  集成运放的内部框图、分类和图形符号 1.1.2  集成运放的引脚功能、封装及命名方法 1.1.3  集成运放的参数 1.2  理想运算放大器 1.2.1  运放的理想参数及理想运放的电路模型 1.2.2  简化设计的基本准则 1.3  选择电阻器须知 1.3.1  电阻器系列及温度系数 1.3.2  常用电阻器的结构与特点及参数 1.4  选用电容器须知 1.4.1  电容器容量系列、损耗及绝缘电阻 1.4.2  常用电容器的类型、特点及规格 1.5  集成运放的电源 1.5.1  集成运放电源的选择 1.5.2  各类电源系列 1.5.3  集成运放电源使用注意事项 第2章  集成运放调零、相位补偿与保护电路的设计 2.1  偏置电流补偿电路及调零电路的设计 2.1.1  偏置电流补偿电路的设计 2.1.2  调零电路的设计

    标签: 360 集成运放 应用电路

    上传时间: 2013-10-09

    上传用户:wanqunsheng

  • 基于机器视觉的芯片成型分离视觉检测系统的研究

    摘要:芯片引脚是否合格,是成型分离制程检测的关键.针对这一问题,应用机器视觉和机器自动化技术,研制出实现成型分离制程芯片检测自动化的检测系统.实验测试表明,该设备具有较高的检测精度和检测速度,能够满足生产需要.关键词:成型分离'机器视觉'自动化检测

    标签: 机器视觉 分离 芯片

    上传时间: 2013-10-09

    上传用户:完玛才让

  • 基于单片机的简单电子琴(源代码)

    简单电子琴的51单片机程序 #include<reg51.h>       //包含51单片机寄存器定义的头文件 sbit P14=P1^4;     //将P14位定义为P1.4引脚 sbit P15=P1^5;          //将P15位定义为P1.5引脚 sbit P16=P1^6;     //将P16位定义为P1.6引脚 sbit P17=P1^7;     //将P17位定义为P1.7引脚 unsigned char keyval;   //定义变量储存按键值 sbit sound=P2^0;     //将sound定义为P2.0 unsigned int C;     //全局变量,储存定时器的定时常数 unsigned int f;     //全局变量,储存音阶的频率 //以下是C调低音的音频宏定义 #define l_dao 262     //将“l_dao”宏定义为低音“1”的频率262Hz #define l_re 294     //将“l_re” 宏定义为低音“2”的频率294Hz #define l_mi 330     //将“l_mi” 宏定义为低音“3”的频率330Hz #define l_fa 349        //将“l_fa” 宏定义为低音“4”的频率349Hz #define l_sao 392       //将“l_sao”宏定义为低音“5”的频率392Hz #define l_la 440        //将“l_la” 宏定义为低音“6”的频率440Hz #define l_xi 494        //将“l_xi” 宏定义为低音“7”的频率494Hz //以下是C调中音的音频宏定义 #define dao 523     //将“dao”宏定义为低音“1”的频率Hz #define re 587 //将“re” 宏定义为低音“2”的频率Hz #define mi 659 //将“mi” 宏定义为低音“3”的频率Hz #define fa 698 //将“fa” 宏定义为低音“4”的频率Hz #define sao 784 //将“sao”宏定义为低音“5”的频率Hz #define la 880 //将“la” 宏定义为低音“6”的频率Hz #define xi 988 //将“xi” 宏定义为低音“7”的频率Hz

    标签: 单片机 电子琴 源代码

    上传时间: 2013-11-09

    上传用户:tian126vip

  • ch451数码管驱动实例程序

    CH451 使用一个系统时钟信号来同步芯片内部的各个功能部件,例如,当系统时钟信号的频率变高时,显示驱动刷新将变快、按键响应时间将变短、上电复位信号的宽度将变窄、看门狗周期也将变短。一般情况下,CH451 的系统时钟信号是由内置的阻容振荡提供的,这样就不再需要任何外围电路,但内置RC 振荡的频率受电源电压的影响较大,当电源电压降低时,系统时钟信号的频率也随之降低。在某些实际应用中,可能希望CH451 提供更长或者更短的显示刷新周期、按键响应时间等,这时就需要调节系统时钟信号的频率。CH451 提供了CLK 引脚,用于外接阻容振荡。当在CLK 引脚与地GND 之间跨接电容后,系统时钟信号的频率将变低;当在CLK 引脚与正电源VCC 之间跨接电阻后,系统时钟信号的频率将变高。因为CH451 的系统时钟信号被用于芯片内部的所有功能部件,所以其频率不宜进行大幅度的调节,一般情况下,跨接电容的容量在5pF 至100pF 之间,跨接电阻的阻值在20KΩ至500KΩ之间。跨接一个47pF 的电容则频率降低为一半,跨接一个47KΩ的电阻则频率升高为两倍。另外,CH451 的CLK 引脚可以直接输入外部的系统时钟信号,但外部电路的驱动能力不能小于±2mA。CH451 在CLKO 引脚提供了系统时钟信号的二分频输出,对于一些不要求精确定时的实际应用,可以由CLKO 引脚向单片机提供时钟信号,简化外围电路。 单片机接口程序下面提供了U1(MCS-51 单片机)与U2(CH451)的接口程序,供参考。;**********************;需要主程序定义的参数CH451_DCLK BIT P1.7 ;串行数据时钟,上升沿激活CH451_DIN BIT P1.6 ;串行数据输出,接CH451 的数据输入CH451_LOAD BIT P1.5 ;串行命令加载,上升沿激活CH451_DOUT BIT P3.2 ;INT0,键盘中断和键值数据输入,接CH451 的数据输出CH451_KEY DATA 7FH ;存放键盘中断中读取的键值

    标签: 451 ch 数码管 实例程序

    上传时间: 2013-11-22

    上传用户:671145514