虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 软件下载 > 精品软件 > NC-VERLOG/NC-VHDL/NC-SIM 1.150

NC-VERLOG/NC-VHDL/NC-SIM 1.150

资 源 简 介

Cadence公司出品,很好的Verilog/VHDL仿真工具,其中NC-Verilog 的前身是著名的Verilog仿真软件:Verilog-XL,用于Verilog仿真;NC-VHDL,用于VHDL仿真;NC-Sim,是Verilog/VHDL混合语言仿真工具

  • 资源大小:208.0KB
  • 上传时间: 2014-03-30
  • 上传用户:zing1234
  • 资源积分:2 下载积分
  • 标      签: NC-Verlog NC-VHDL NC-SIM
  • 下载