虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > VHDLVERILOG语言实现的CARDBUS的IP源码,已经实现现场应用

VHDLVERILOG语言实现的CARDBUS的IP源码,已经实现现场应用

  • 资源大小:1956 K
  • 上传时间: 2013-12-26
  • 上传用户:jessica12332145
  • 资源积分:2 下载积分
  • 标      签: VHDLVERILOG CARDBUS 语言 源码

资 源 简 介

VHDLVERILOG语言实现的CARDBUS的IP源码,已经实现现场应用

相 关 资 源