虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 采用VHDL语言写了一个函数发生器的程序。内含有各个模块

采用VHDL语言写了一个函数发生器的程序。内含有各个模块

  • 资源大小:13 K
  • 上传时间: 2017-03-04
  • 上传用户:aoneko2009
  • 资源积分:2 下载积分
  • 标      签: VHDL 语言 函数发生器 程序

资 源 简 介

采用VHDL语言写了一个函数发生器的程序。内含有各个模块,供大家参考,请多批评!

相 关 资 源

您 可 能 感 兴 趣 的