虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > moore状态机~~~ 用vhdl语言实现

moore状态机~~~ 用vhdl语言实现

  • 资源大小:15 K
  • 上传时间: 2014-12-21
  • 上传用户:changke8311
  • 资源积分:2 下载积分
  • 标      签: moore vhdl 状态 语言

资 源 简 介

moore状态机~~~ 用vhdl语言实现

相 关 资 源