虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > VHDL言语实现的24制时钟,可整点报时,还有闹钟等功能.

VHDL言语实现的24制时钟,可整点报时,还有闹钟等功能.

  • 资源大小:355 K
  • 上传时间: 2013-11-29
  • 上传用户:zhaoyanguangaaa
  • 资源积分:2 下载积分
  • 标      签: VHDL 时钟 闹钟

资 源 简 介

VHDL言语实现的24制时钟,可整点报时,还有闹钟等功能.

相 关 资 源

您 可 能 感 兴 趣 的