虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > dp_xiliux 的 CPLD Verilog设计实验,时钟演示.代码测试通过.

dp_xiliux 的 CPLD Verilog设计实验,时钟演示.代码测试通过.

  • 资源大小:79 K
  • 上传时间: 2016-09-20
  • 上传用户:ll122644144
  • 资源积分:2 下载积分
  • 标      签: dp_xiliux Verilog CPLD 设计实验

资 源 简 介

dp_xiliux 的 CPLD Verilog设计实验,时钟演示.代码测试通过.

相 关 资 源

您 可 能 感 兴 趣 的