虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 用VHDL描述一个让6个数码管同时显示的控制器

用VHDL描述一个让6个数码管同时显示的控制器

  • 资源大小:2 K
  • 上传时间: 2014-11-04
  • 上传用户:zyhunicom
  • 资源积分:2 下载积分
  • 标      签: VHDL 数码管 控制器

资 源 简 介

用VHDL描述一个让6个数码管同时显示的控制器,同时显示0、1、2、3、4、5这6个不同的数字图形到6个数码管上,输入时钟调节频率,使得能够观察到稳定显示的6个数字。可异步复位

相 关 资 源