虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > DE2板上的hello程序,实现在8个七段译码器上循环显示hello

DE2板上的hello程序,实现在8个七段译码器上循环显示hello

  • 资源大小:276 K
  • 上传时间: 2016-03-10
  • 上传用户:zln12345
  • 资源积分:2 下载积分
  • 标      签: hello DE2 程序 循环显示

资 源 简 介

DE2板上的hello程序,实现在8个七段译码器上循环显示hello

相 关 资 源

您 可 能 感 兴 趣 的