虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > VHDL实现50%占空比。并且是奇数分频。

VHDL实现50%占空比。并且是奇数分频。

  • 资源大小:116 K
  • 上传时间: 2015-12-29
  • 上传用户:xiaomaolv1017
  • 资源积分:2 下载积分
  • 标      签: VHDL 分频

资 源 简 介

VHDL实现50%占空比。并且是奇数分频。

相 关 资 源

您 可 能 感 兴 趣 的