虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 用VHDL语言编写的一个闹钟程序

用VHDL语言编写的一个闹钟程序

  • 资源大小:751 K
  • 上传时间: 2013-11-29
  • 上传用户:ilovexzhu
  • 资源积分:2 下载积分
  • 标      签: VHDL 语言 编写 程序

资 源 简 介

用VHDL语言编写的一个闹钟程序,可以整点报时,设置时间,设置闹钟。

相 关 资 源

您 可 能 感 兴 趣 的