虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 在LCD上显示事先就输入好的字符,可以任意改变的

在LCD上显示事先就输入好的字符,可以任意改变的

  • 资源大小:412 K
  • 上传时间: 2015-10-12
  • 上传用户:lvuxinwu
  • 资源积分:2 下载积分
  • 标      签: LCD 输入 字符 改变

资 源 简 介

在LCD上显示事先就输入好的字符,可以任意改变的

相 关 资 源

您 可 能 感 兴 趣 的