虫虫首页|资源下载|资源专辑|精品软件
登录|注册

Electronic

  • altium designer教程 -下载

    altium designer教程下载:电路设计自动化( Electronic Design Automation ) EDA 指的就是将电路设计中各种工作交由计算机来协助完成。如电路图( Sc

    标签: designer altium 教程

    上传时间: 2013-04-24

    上传用户:familiarsmile

  • 步进电机控制驱动系统的设计

    LAMOST(Large Sky Area Multi-Obiect Fiber Spectroscopy Telescope,大天区面积多目标光纤光谱天文望远镜)需要对焦而上的4 000个光纤定位单元进行精确定位,一个光纤定位单元需要两个步进电机来驱动,即需要对8 000个电机进行驱动控制。如何对这8 000个电机进行有效的控制,是本文主要的研究内容。 本义引入EDA(Electronic Design Automation),技术,以FPGA和CAN总线为硬件载体来进行设计。FPGA相比较于DSP,单片机而言,具有10管脚多,资源丰富,使用灵活等优点,可以存片内集成多个电机的摔制,这样对于提高系统的集成度,节约成本无疑有着很大的帮助。 在电机的控制当中,其失步和过冲会直接影响到系统的精度,所以需要对电机脉冲频率加以控制,对于在平稳状态下能正常工作的电机,失步往往发生在启动停止等脉冲频率突然发生改变的时刻。具体实现方法是通过实验找出一条理想的加减速曲线,再将曲线离散化,并把离散化后的加减速分频系数存储在FPGA片内ROM里而,当电机运行到对应的步数时,取出分频系数来获取对应的运行频率。 在LAMOST观测中,光纤定位单元的零位是个很重要的基准,在每次观测之前,电机都要回零,理论上电气零位和机械零位在同一点上,如果电气检测到达零位则认为已经到达机械零位位置。但是实际中由于装配等一些原因,可能会出现零位短路和零位断路的情况。零位断路是指电机处于机械零位,但是电气不能检测到;零位短路是指电机不在机械零位,但是电气已经检测到处于零位。这两种情况会造成越界和机械零位一直被挤压的后果,有可能会损坏光纤定位单元,为了防止这些情况出现,软件程序中加入了计数器,从而从有效地保护了光纤定位单元,同时将这些状况向上反馈,以便维护和检修。 在本文完成之时,能够控制驱动336个光纤定位单元的小系统已经在北京天文台兴隆观测站实际投入运行,并于2007年5月28日获得首条光谱,取得了不错的效果。

    标签: 步进电机控制 驱动系统

    上传时间: 2013-04-24

    上传用户:afeiafei309

  • 基于FPGA的任意波形发生器

    随着国民经济的发展和社会的进步,人们越来越需要便捷的交通工具,从而促进了汽车工业的发展,同时汽车发动机检测维修等相关行业也发展起来。在汽车发动机检测维修中,发动机电脑(Electronic Control.Unit-ECU)检测维修是其中最关键的部分。发动机电脑根据发动机的曲轴或凸轮轴传感器信号控制发动机的喷油、点火和排气。所以,维修发动机电脑时,必须对其施加正确的信号。目前,许多发动机的曲轴和凸轮轴传感器信号已不再是正弦波和方波等传统信号,而是多种复杂波形信号。为了能够提供这种信号,本文研究并设计了一种能够产生复杂波形的低成本任意波形发生器(Arbitrary Waveform Generator-AWG)。 本文提出的任意波形发生器依据直接数字频率合成(Direct Digial FrequencySynthesis-DDFS)原理,采用自行设计现场可编程门阵列(FPGA)的方案实现频率合成,扩展数据存储器存储波形的量化幅值(波形数据),在微控制单元(MCU)的控制与协调下输出频率和相位均可调的信号。 任意波形发生器主要由用户控制界面、DDFS模块、放大及滤波、微控制器系统和电源模块五部分组成。在设计中采用FPGA芯片EPF10K10QC208-4实现DDFS的硬件算法。波形调整及滤波由两级放大电路来完成:第一级对D/A输出信号进行调整;第二级完成信号滤波及信号幅值和偏移量的调节。电源模块利用三端集成稳压器进行电压值变换,利用极性转换芯片ICL7660实现正负极性转换。 该任意波形发生器与通用模拟信号源相比具有:输出频率误差小,分辨率高,可产生任意波形,成本低,体积小,使用方便,工作稳定等优点,十分适合汽车维修行业使用,具有较好的市场前景。

    标签: FPGA 任意波形发生器

    上传时间: 2013-04-24

    上传用户:KIM66

  • 基于数据符号同步的FPGA仿真实现

    近年来,人们对无线数据和多媒体业务的需求迅猛增加,促进了宽带无线通信新技术的发展和应用。正交频分复用 (Orthogonal Frequency Division Multiolexing,OFDM)技术已经广泛应用于各种高速宽带无线通信系统中。然而 OFDM 系统相比单载波系统更容易受到频偏和时偏的影响,因此如何有效地消除频偏和时偏,实现系统的时频同步是 OFDM 系统中非常关键的技术。 本文讨论了非同步对 OFDM 系统的影响,分析了当前用于 OFDM 系统中基于数据符号的同步算法,并简单介绍非基于数据符号同步技术。基于数据符号的同步技术通过加入训练符号或导频等附加信息,并利用导频或训练符号的相关性实现时频同步。此算法由于加入了附加信息,降低了带宽利用率,但同步精度相对较高,同步捕获时间较短。 随着电子芯片技术的快速发展,电子设计自动化 (Electronic DesignAutomation,EDA) 技术和可编程逻辑芯片 (FPGA/CPLD) 的应用越来越受到大家的重视,为此文中对 EDA 技术和 Altera 公司制造的 FPGA 芯片的原理和结构特点进行了阐述,还介绍了在相关软件平台进行开发的系统流程。 论文在对基于数据符号三种算法进行较详细的分析和研究的基础上,尤其改进了基于导频符号的同步算法之后,利用 Altera 公司的 FPGA 芯片EP1S25F102015 在 OuartusⅡ5.0 工具平台上实现了 OFDM 同步的硬件设计,然后进行了软件仿真。其中对基于导频符号同步的改进算法硬件设计过程了进行了详细阐述。不仅如此,对于基于 PN 序列帧的同步算法和基于循环前缀 (Cycle Prefix,CP) 的极大似然 (Maximam Likelihood,ML)估计同步算法也有具体的仿真实现。 最后,文章还对它们进行了比较,基于导频符号同步设计的同步精度比较高,但是耗费芯片的资源多,另一个缺点是没有频偏估计,因此运用受到一定限制。基于 PN 序列帧的同步设计使用了最少的芯片资源,但要提取 PN 序列中的信号数据有一定困难。基于循环前缀的同步设计占用了芯片 I/O 脚稍显多。这几种同步算法各有优缺点,但可以根据不同的信道环境选用它们。

    标签: FPGA 数据 同步的 仿真实现

    上传时间: 2013-04-24

    上传用户:断点PPpp

  • 基于FPGA的PROFIBUSDP从站接口研究

    PROFIBUS现场总线技术是当今控制领域的一个热点。目前国内对于PROFIBUS-DP的应用和研究主要以西门子等国外大公司的成套设备为主,用单片机+固态程序的方法做PROFIBUS-DP接口控制器的技术比较成熟,而自主开发PROFIBUS-DP通用接口的研究却比较少。针对这一现状,本论文采用FPGA做控制器,提出了基于FPGA技术的从站接口通信模块的设计方案,使具有RS-232接口的从站可以通过该接口通信模块与PROFIBUS-DP主站进行通讯连接。 论文首先对PROFIBUS现场总线技术进行概述,主要从现场总线的技术特点、协议结构、传输技术、存取协议等方面进行介绍。对PROFIBUS-DP系统组成和配置、工作方式及数据传递、DP的功能和从站状态机制等进行研究和分析。然后详细论述了基于PROFIBUS-DP的通信接口的硬件及软件实现。 在硬件设计中,本文从PROFIBUS协议芯片SPC3实现的具体功能出发,结合EDA(Electronic Design Amomation)设计自项向下的设计思想,给出了总线接口的总体设计方案。同时给出其设计逻辑框图、算法流程图、引脚说明以及部分模块的仿真结果。并充分考虑了硬件的通用性及将来的扩展。 本设计使用VHDL描述,在此基础之上采用专门的综合软件对设计进行了综合优化,最后在FPGA(Field Programmable Gate Array)芯片EP1C6上得以实现。在软件设计中,详细介绍了通信接口的软件设计实现,包括状态机的实现、各种通信报文的实现、GSD文件的编写等。 再通过Siemens公司的CP5611网络接口卡和PC机做主站,使用COMPROFIBUS组态软件,组建系统进行通讯测试,得到良好结果。

    标签: PROFIBUSDP FPGA 接口

    上传时间: 2013-05-25

    上传用户:xwd2010

  • 基于FPGA的汽车发动机控制器研究

    汽车工业在国民经济增长中发挥着越来越重要的作用。近几年,虽然我国的汽车工业已经得到了飞速的发展,但汽车ECU(Electronic Control Unit)的设计制造一直无法实现国产化,严重制约了汽车工业的发展。针对这个现状,本课题对于ECU的设计进行了初步研究。首次尝试了基于SOPC技术的ECU系统设计,并利用dSPACE实时仿真发动机,完成了ECU的硬件在回路仿真,对控制效果进行了测试和分析。 目前,市场上的ECU系统都是基于专用单片机的。本文首先对现有的汽车发动机控制器结构进行了分析比较,总结出ECU的主要组成部件;而后通过各类方案的对比,确定了本课题采用基于FPGA的嵌入NIOS Ⅱ软核的SOPC技术方案。 之后,进行了汽车发动机模型搭建和控制算法的设计。发动机模型以Hendricks提出的均值模型为基础,参考mathworks公司的发动机建模方案进行设计。并在该模型基础上,参考Fekete提出的针对多缸发动机的基于模型的空燃比控制策略和mathworks发动机控制方案,建立了以控制空燃比为核心的发动机喷油控制算法。并通过simulink的仿真,验证了模型和算法的合理有效性。 基于系统设计总体方案,完成了ECU硬件电路设计,并在该系统中完成了上述算法的移植和优化。最后,利用dSPACE实时仿真发动机,进行ECU的硬件在回路仿真,对本文设计的ECU系统进行了测试。证实了该ECU方案在空燃比控制方面取得了较好的效果。 本论文以大量的图示形式介绍了发动机模型和系统软硬件设计,使得系统结构和软件流程等一目了然,浅显易懂。同时论文中采用的基于SOPC技术的ECU设计具有一定创新性,对于其他ECU系统的开发和设计具有一定指导意义。

    标签: FPGA 汽车发动机 控制器

    上传时间: 2013-07-11

    上传用户:小眼睛LSL

  • 视频图像处理系统的研究

    视频图像处理的应用越来越广泛,各种处理算法也日趋成熟,相关的硬件技术不断地推陈出新。视频图像处理系统的硬件实现一般来说有三种方式:数字信号处理器(Digital Signal Processor)、专用集成芯片(Application Specific Integrated Circuit)和现场可编程逻辑门阵列(Field Programmable Gate Array)以及相关电路组成。最近几年,随着电子设计自动化(Electronic Design Automation)技术的迅速发展,使得基于FPGA的可编程片上系统(System On a Programmable Chip)逐渐成为嵌入式系统。应用的一种趋势。特别地,在视频图像处理系统设计中,数据量大,要求处理速度快,灵活性高,FPGA有其独特的优势。鉴于此,本文对基于FPGA和SOPC技术的视频图像处理系统进行了研究。 本文介绍了Xilinx公司FPGA的结构和功能特点,以及可编程片上系统的开发工具和片内系统设计流程。根据视频信号的相关知识,编写了视频图像处理IP核,构建了视频图像处理系统。整个系统以FPGA为核心器件,内嵌PowerPC405处理器模块,通过ⅡC总线完成视频解码芯片的初始化,总体上实现了对视频图像信号的采集、处理、存储和显示。 本文最后对系统进行了调试。经过实验验证,系统能正确和可靠地工作。整个系统的逻辑资源消耗占FPGA的百分之十几,剩余的资源可以做许多硬件算法或其它方面的应用。

    标签: 视频图像 处理系统

    上传时间: 2013-05-24

    上传用户:kaka

  • Tina Pro Bright Spark 90

    Tina Pro是重要的现代化EDA(Electronic Design Automation,即电子电路设计自动化)软件之一,用于模拟及数字电路的仿真分析。其研发者是欧洲DesignSoft Kft.公司,目前大约流行四十多个国家,并有二十余种不同语言的版本,其中包括中文版,大约含有两万多个分立或集成电路元器件

    标签: Bright Spark Tina Pro

    上传时间: 2013-06-30

    上传用户:manlian

  • 以CPLD 芯片进行十字路口的交通灯的设计

    摘要:本文主要介绍以CPLD 芯片进行十字路口的交通灯的设计,用CPLD 作为交通灯控制器的主控芯片,采用VHDL\r\n语言编写控制程序,利用CPLD的可重复编程和在动态系统重构的特性,大大地提高了数字系统设计的灵活性和通用性。\r\n关键词:CPLD;VHDL;交通灯控制器\r\n中图分类号:TP39\r\nAbstract :This paper introduces the Electronic-traffic lamp, which is based on the VHDL and is com

    标签: CPLD 芯片 十字路口 交通灯

    上传时间: 2013-08-11

    上传用户:aesuser

  • dxp2004教程-附安装方法

    附件有二个文当,都是dxp2004教程 ,第一部份DXP2004的相关快捷键,以及中英文对照的意思。第二部份细致的讲解的如何使用DXP2004。 dxp2004教程第一部份: 目录 1 快捷键 2 常用元件及封装 7 创建自己的集成库 12 板层介绍 14 过孔 15 生成BOM清单 16 顶层原理图: 16 生成PCB 17 包地 18 电路板设计规则 18 PCB设计注意事项 20 画板心得 22 DRC 规则英文对照 22 一、Error Reporting 中英文对照 22 A : Violations Associated with Buses 有关总线电气错误的各类型(共 12 项) 22 B :Violations Associated Components 有关元件符号电气错误(共 20 项) 22 C : violations associated with document 相关的文档电气错误(共 10 项) 23 D : violations associated with nets 有关网络电气错误(共 19 项) 23 E : Violations associated with others 有关原理图的各种类型的错误 (3 项 ) 24 二、 Comparator 规则比较 24 A : Differences associated with components 原理图和 PCB 上有关的不同 ( 共 16 项 ) 24 B : Differences associated with nets 原理图和 PCB 上有关网络不同(共 6 项) 25 C : Differences associated with parameters 原理图和 PCB 上有关的参数不同(共 3 项) 25 Violations  Associated withBuses栏 —总线电气错误类型 25 Violations Associated with Components栏 ——元件电气错误类型 26 Violations Associated  with documents栏 —文档电气连接错误类型 27 Violations Associated with Nets栏 ——网络电气连接错误类型 27 Violations Associated with Parameters栏 ——参数错误类型 28 dxp2004教程第二部份 路设计自动化( Electronic Design Automation ) EDA 指的就是将电路设计中各种工作交由计算机来协助完成。如电路图( Schematic )的绘制,印刷电路板( PCB )文件的制作执行电路仿真( Simulation )等设计工作。随着电子工业的发展,大规模、超大规模集成电路的使用是电路板走线愈加精密和复杂。电子线路 CAD 软件产生了, Protel 是突出的代表,它操作简单、易学易用、功能强大。 1.1 Protel 的产生及发展 1985 年 诞生 dos 版 Protel 1991 年 Protel for Widows 1998 年 Protel98 这个 32 位产品是第一个包含 5 个核心模块的 EDA 工具 1999 年 Protel99 既有原理图的逻辑功能验证的混合信号仿真,又有了 PCB 信号完整性 分析的板级仿真,构成从电路设计到真实板分析的完整体系。 2000 年 Protel99se 性能进一步提高,可以对设计过程有更大控制力。 2002 年 Protel DXP 集成了更多工具,使用方便,功能更强大。 1.2 Protel DXP 主要特点 1 、通过设计档包的方式,将原理图编辑、电路仿真、 PCB 设计及打印这些功能有机地结合在一起,提供了一个集成开发环境。 2 、提供了混合电路仿真功能,为设计实验原理图电路中某些功能模块的正确与否提供了方便。 3 、提供了丰富的原理图组件库和 PCB 封装库,并且为设计新的器件提供了封装向导程序,简化了封装设计过程。 4 、提供了层次原理图设计方法,支持“自上向下”的设计思想,使大型电路设计的工作组开发方式成为可能。 5 、提供了强大的查错功能。原理图中的 ERC (电气法则检查)工具和 PCB 的 DRC (设计规则检查)工具能帮助设计者更快地查出和改正错误。 6 、全面兼容 Protel 系列以前版本的设计文件,并提供了 OrCAD 格式文件的转换功能。 7 、提供了全新的 FPGA 设计的功能,这好似以前的版本所没有提供的功能。

    标签: 2004 dxp 教程 安装方法

    上传时间: 2013-10-22

    上传用户:qingzhuhu