虫虫首页|资源下载|资源专辑|精品软件
登录|注册

49.3

  • 基于H.264编解码的算法优化研究及FPGA的硬件实现.rar

    H.264/AVC是由ITU和ISO两大组织联合组成的JVT共同制定的一项新的视频压缩技术标准,在较低带宽上提供高质量的图像传输是H.264/AVC的应用亮点。在同样的视觉质量前提下,H.264/AVC比H.263和MPEG-4节约了50%的码率。但H.264获得优越性能的代价是计算复杂度的增加,据估计其编码的计算复杂度大约为H.263的3倍,因此很难应用于实时视频处理领域。针对这一现状,业内做了大量的研究工作,力图降低其计算复杂度和提高运行效率。比如在运动估计方面,国内外在这方面的研究已经很成熟。而针对帧内/帧间预测编码的研究却较少。因此研究预测模式的快速算法具有理论意义和应用价值。 本文在详细研究H.264标准视频压缩编码特点基础上,分析了H.264帧内编码, 帧间编码及变换,量化技术的原理及特点,提出了一种基于局部边缘方向信息的快速帧内模式判决算法,通过结合SAD的模式选择方法来减少模式选择数目。它采用了Sobel梯度算子计算当前块的边缘信息,累加当前块中属于同一方向像素点的边缘矢量构造不同模式下的边缘方向直方图,以便确定最可能的预测模式。该算法有效降低了编码器的运算复杂度,在并未显著降低编码性能的情况下提升了编码器效率。仿真表明:Foreman 图像序列编码性能有了提高,其中PSNR平均降低了0.06dB,Bitrate平均降低了19.4%,这大大提高了视频传输的质量。 另外在帧间预测模式选择算法方面进行了改进研究:按顺序对不同类型进行判决,有选择地去比较可能模式,使得在有效减少需判决的模式数量的同时,结合小块模式搜索中途停止准则来确定最优模式。仿真表明:改进算法相对与原来算法能够节省很多的编码时间(平均下降了49.3%),但带来的图像质星的下降(平均下降0.08dB,可以忽略)和码率较少的增加。 同时在整数DCT变换模块中,提出了一种快速蝶形算法,使得对4×4点数据做一次变换,只需通过8×8次加法和2×8次移位运算便可完成,与原来12×8次加法和4×8次移位相比,新算法大大降低了运算复杂度。 最后介绍FPGA的特点及设计流程,并实现了H.264编解码器中变换编码及量化和熵解码模块的硬件。这种基于FPGA所实现的H.264编码视频处理模块设计具备了成本低,周期短,设计方法灵活等优点,具有广阔的市场应用前景。 仿真表明,通过使用本文提出的帧内/帧间速算法方法可使得H.264编码速度获得显著的提高,使H.264 Baseline编码器能在PC平台上实现实时编码。

    标签: FPGA 264 编解码

    上传时间: 2013-07-17

    上传用户:zukfu

  • 硬件工程师手册

    目 录 第一章 概述 3 第一节 硬件开发过程简介 3 §1.1.1 硬件开发的基本过程 4 §1.1.2 硬件开发的规范化 4 第二节 硬件工程师职责与基本技能 4 §1.2.1 硬件工程师职责 4 §1.2.1 硬件工程师基本素质与技术 5 第二章 硬件开发规范化管理 5 第一节 硬件开发流程 5 §3.1.1 硬件开发流程文件介绍 5 §3.2.2 硬件开发流程详解 6 第二节 硬件开发文档规范 9 §2.2.1 硬件开发文档规范文件介绍 9 §2.2.2 硬件开发文档编制规范详解 10 第三节 与硬件开发相关的流程文件介绍 11 §3.3.1 项目立项流程: 11 §3.3.2 项目实施管理流程: 12 §3.3.3 软件开发流程: 12 §3.3.4 系统测试工作流程: 12 §3.3.5 中试接口流程 12 §3.3.6 内部验收流程 13 第三章 硬件EMC设计规范 13 第一节 CAD辅助设计 14 第二节 可编程器件的使用 19 §3.2.1 FPGA产品性能和技术参数 19 §3.2.2 FPGA的开发工具的使用: 22 §3.2.3 EPLD产品性能和技术参数 23 §3.2.4 MAX + PLUS II开发工具 26 §3.2.5 VHDL语音 33 第三节 常用的接口及总线设计 42 §3.3.1 接口标准: 42 §3.3.2 串口设计: 43 §3.3.3 并口设计及总线设计: 44 §3.3.4 RS-232接口总线 44 §3.3.5 RS-422和RS-423标准接口联接方法 45 §3.3.6 RS-485标准接口与联接方法 45 §3.3.7 20mA电流环路串行接口与联接方法 47 第四节 单板硬件设计指南 48 §3.4.1 电源滤波: 48 §3.4.2 带电插拔座: 48 §3.4.3 上下拉电阻: 49 §3.4.4 ID的标准电路 49 §3.4.5 高速时钟线设计 50 §3.4.6 接口驱动及支持芯片 51 §3.4.7 复位电路 51 §3.4.8 Watchdog电路 52 §3.4.9 单板调试端口设计及常用仪器 53 第五节 逻辑电平设计与转换 54 §3.5.1 TTL、ECL、PECL、CMOS标准 54 §3.5.2 TTL、ECL、MOS互连与电平转换 66 第六节 母板设计指南 67 §3.6.1 公司常用母板简介 67 §3.6.2 高速传线理论与设计 70 §3.6.3 总线阻抗匹配、总线驱动与端接 76 §3.6.4 布线策略与电磁干扰 79 第七节 单板软件开发 81 §3.7.1 常用CPU介绍 81 §3.7.2 开发环境 82 §3.7.3 单板软件调试 82 §3.7.4 编程规范 82 第八节 硬件整体设计 88 §3.8.1 接地设计 88 §3.8.2 电源设计 91 第九节 时钟、同步与时钟分配 95 §3.9.1 时钟信号的作用 95 §3.9.2 时钟原理、性能指标、测试 102 第十节 DSP技术 108 §3.10.1 DSP概述 108 §3.10.2 DSP的特点与应用 109 §3.10.3 TMS320 C54X DSP硬件结构 110 §3.10.4 TMS320C54X的软件编程 114 第四章 常用通信协议及标准 120 第一节 国际标准化组织 120 §4.1.1 ISO 120 §4.1.2 CCITT及ITU-T 121 §4.1.3 IEEE 121 §4.1.4 ETSI 121 §4.1.5 ANSI 122 §4.1.6 TIA/EIA 122 §4.1.7 Bellcore 122 第二节 硬件开发常用通信标准 122 §4.2.1 ISO开放系统互联模型 122 §4.2.2 CCITT G系列建议 123 §4.2.3 I系列标准 125 §4.2.4 V系列标准 125 §4.2.5 TIA/EIA 系列接口标准 128 §4.2.5 CCITT X系列建议 130 参考文献 132 第五章 物料选型与申购 132 第一节 物料选型的基本原则 132 第二节 IC的选型 134 第三节 阻容器件的选型 137 第四节 光器件的选用 141 第五节 物料申购流程 144 第六节 接触供应商须知 145 第七节 MRPII及BOM基础和使用 146

    标签: 硬件工程师

    上传时间: 2013-05-27

    上传用户:pscsmon

  • 基于C8051F020的自动测控LED节能照明系统

    提出了一种可根据环境光强、红外和温度变化而实现自动开关、调节亮度的LED照明系统的设计方案。采用单片机C8051F020为系统控制核心,设计了由硅光电池和集成运放组成的光强传感器,选用热释电模块作为红外无线感应器,采用DS18B20为温度传感器,还利用液晶实现了工作信息显示。实验结果表明,系统在光强大于2 170 lx或温度高于82.5 ℃时能自动关断,当光强小于1 040 lx或温度低于49.3 ℃时能自动开启;当光强在1 000~2 000 lx变化时,LED亮度能自动调节,以维持环境照度基本稳定。  

    标签: C8051F020 LED 自动测控

    上传时间: 2013-10-10

    上传用户:xaijhqx

  • Release notes ST7 Assembler-Linker (ST7-ASM) ASM 4.49, LYN 3.16

    Release notes ST7 Assembler-Linker (ST7-ASM) ASM 4.49, LYN 3.16

    标签: Assembler-Linker ASM Release notes

    上传时间: 2014-07-08

    上传用户:luopoguixiong

  • 药检所实验室使用,绝对自己开发,细菌数量求均方差,输入简单,结果正确,已经应用于实际项目中.大大降低了工作量 .输入格式为 7.52*10(5) 7.49*10(5) 6.82*10(5) 表示

    药检所实验室使用,绝对自己开发,细菌数量求均方差,输入简单,结果正确,已经应用于实际项目中.大大降低了工作量 .输入格式为 7.52*10(5) 7.49*10(5) 6.82*10(5) 表示3组数据,()内表示数量级

    标签: 10 7.52 7.49 6.82

    上传时间: 2016-03-30

    上传用户:xlcky

  • VIP专区-单片机源代码精选合集系列(3)

    eeworm.com VIP专区 单片机源码系列 3资源包含以下内容:1. N97.rar2. TMS320C2000.rar3. wince.rar4. C8051F.rar5. ARM.rar6. nichelite.for.lpc.zip7. 12864.rar8. 神龙卡SDK (DirectShow版).zip9. READ_STC12C5A60S2.rar10. DS18B20.rar11. PowerLogic5.0.1.rar12. 51.rar13. 2410.rar14. UCOS2.52.rar15. arm9.rar16. yitaiwang.rar17. JLDLED.rar18. 51单片机方波发生器.rar19. efsl-0.3.6.zip20. MyGui.rar21. Zigbee2007.rar22. ZIGBEE.rar23. LCD12864.rar24. uCOS-II.rar25. uc-gui.rar26. wifi-of-88w8686.rar27. S3C2410_TEST.rar28. H-JTAG-V0.6.1.zip29. ZigBee_xbee.rar30. S7200Sysm.rar31. Led_font_extraction.zip32. IAR_example_EasyARM8962.zip33. siemensPLCjiaocheng.rar34. MFRC500antenna.rar35. LCD1602.rar36. ucos.rar37. s3c2410.rar38. 20086238534741.rar39. ISE7.1lesson.rar40. zlg_fs.rar41. DM9000.rar42. shuzicunchu.rar43. lgui_0.3.0.rar44. 单片机的40个实验.pdf45. STM32_fatfs_shell_SDHC.rar46. CC2430_chinese_pdf.rar47. cypressusb2.0.zip48. PIC.rar49. UCOS-2.rar50. pmc.rar51. boot.rar52. mp3.rar53. STdemo.rar54. ARM9.rar55. ARM7Proteus.rar56. 240128.rar57. AVRkaifashili.rar58. motor_control.zip59. yaoyaobang.rar60. wince_serialport.rar61. Document.rar62. zlggui.rar63. freescale.rar64. NIOS_UART_TEST.rar65. LPC2290.rar66. 硬件cpu&rom课程设计.rar67. ucfs.rar68. TL431.rar69. 单片机读写usb、sd卡技术参考资料.rar70. TCP_IPprotocol.rar71. TS8001K.rar72. nucleus_arm.rar73. 12864串行通信驱动.rar74. stc12c5608ad.zip75. ATmega16读写SD卡程序.rar76. Keil Cx51 V7.0单片机高级语言编程与uVision2应用实践.rar77. freescale单片机应用实例.rar78. 周立功 开发包 ARM9.rar79. 智能小车.rar80. 串行下载线的原理图.pdf81. C51入门.rar82. C51入门2.rar83. C51入门3.rar84. C51入门4.rar85. C51入门5.rar86. C51入门6.rar87. C51入门7.rar88.  单片机 漏电检测原理.doc89. nrf24z1 代码.rar90. 舞蹈机器人设计与制作.pdf91. C8051系列单片机开发与C语言编程!.rar92. PIC单片机C语言应用例程.rar93. 基于89c52的12864液晶程序.txt.rar94. 温度控制系统——毕业设计.rar95. 力天电子LPC210X例程代码.zip96. 新型楼道声控灯(毕业设计).rar97. stm32数码相框.rar98. 宏晶单片机的AD演示程序.rar99. 在VB下PC机与MCS.doc.doc100. 单片机技术应用教程.pdf

    标签: Matlab 编程

    上传时间: 2013-05-15

    上传用户:eeworm

  • 金属材料标准手册(上、下)1291页-49.3M.pdf

    专辑类-机械五金类专辑-84册-3.02G 金属材料标准手册(上、下)1291页-49.3M.pdf

    标签: 1291 49.3 金属材料

    上传时间: 2013-04-24

    上传用户:894898248

  • 《计算机程序设计艺术(第3卷)排序与查找》

    ·作者: (美国)DONALD E.KNUTH著 出版社: 清华大学出版社 出版日期: 2002-09 计算机程序设计艺术 第1卷 基本算法 (第3版) 内容提要      《计算机程序设计艺术 第1卷 基本算法 (第3版)》是国内外业界广泛关注的7卷本《计算机程序设计艺术》第1卷的最新版,以基本的程序设计概念和技术开始,然后专注于信息结构——计算机内部信息的

    标签: 计算机 程序设计 排序

    上传时间: 2013-04-24

    上传用户:leileiq910

  • 中国模具设计大典 第3卷 冲压模具设计 1120页 24.4M.pdf

    资料->【F】机械结构->【F1】机械丛书->中国模具设计大典 (共5卷)->中国模具设计大典 第3卷 冲压模具设计 1120页 24.4M.pdf

    标签: 1120 24.4 模具设计

    上传时间: 2013-04-24

    上传用户:00.00

  • STM32 USART1 2 3三串口收发

    STM32F103 USART1 2 3三串口收发 keil例程

    标签: USART1 STM 32 串口

    上传时间: 2013-04-24

    上传用户:TF2015