虫虫首页|资源下载|资源专辑|精品软件
登录|注册

输入信号

  • 基于FPGA的语音增强算法研究与实现.rar

    现实生活中的语音不可避免的要受到周围环境的影响,背景噪声例如机械噪声、街头音乐噪音,其他说话者的话音等均会严重地影响语音信号的质量:此外传输系统本身也会产生各种噪声,因此接收端的信号为带噪语音信号。混叠在语音信号中的噪声按类别可分为环境噪声等的加法性噪声及电器线路干扰等的乘法性噪声;按性质可分为平稳噪声和非平稳噪声。 语音增强的根本目的就是净化语音质量。把不需要的噪音减低到最小程度。但是由于噪音的复杂性,很难归纳出一个统一的特征,因此不可能寻求一种算法完全适应于所有的噪音消除,因此语音增强是一个复杂的工程。 有关抗噪声技术的研究以及实际环境下的语音信号处理系统的开发,在国内外已经成为语音信号处理非常重要的研究课题,已经作了大量的研究工作,取得了丰富的研究成果。本文仅对加性噪声下的语音增强技术做了较为仔细的讨论,我们先给出语音信号处理的基本理论,它是语音增强算法研究和实现的理论基础,在此基础总结了自适应信号处理技术的特点以及在语音增强方面的应用。选取工程领域最常用的自适应LMS滤波算法和RLS滤波算法作为研究对象,提出了利用最小均方误差意义下自适应滤波器的输出信号与主通道噪声信号的等效关系,得到滤波器最佳自适应参数的方法,并分析了在平稳和非平稳噪声环境下,L M S滤波器族和R L S滤波器在不同噪音输入下的权系数收敛速度、权系数稳定性、跟踪输入信号的能力和信噪比的改善等特性。 研究了MATLAB语言程序设计和使用MALTLAB对语音算法进行仿真、并输入了多种实际环境下的噪音进行滤波仿真并对仿真的结果进行比较和分析。总结出了LMS、NLMS、SIGN-ERROR-LMS、RLS自适应滤波器在语音滤波方面的特点 和应用情况。 最后在MATLAB仿真的基础上,利用Altera公司的Cyclone2系列FPGA芯片和多种EDA工具,完成了L M S自适应滤波器的FPGA设计。 关键词:语音增强,背景噪音,自适应滤波器,LMS,RLS,FPGA

    标签: FPGA 语音增强 算法研究

    上传时间: 2013-04-24

    上传用户:lijianyu172

  • 数字逻辑电路的ASIC设计.pdf.rar

    书名:数字逻辑电路的ASIC设计/实用电子电路设计丛书 作者:(日)小林芳直 著,蒋民 译,赵宝瑛 校 出版社:科学出版社 原价:30.00 出版日期:2004-9-1 ISBN:9787030133960 字数:348000 页数:293 印次: 版次:1 纸张:胶版纸 开本: 商品标识:8901735 编辑推荐 -------------------------------------------------------------------------------- 内容提要 -------------------------------------------------------------------------------- 本书是“实用电子电路设计丛书”之一。本书以实现高速高可靠性的数字系统设计为目标,以完全同步式电路为基础,从技术实现的角度介绍ASIC逻辑电路设计技术。内容包括:逻辑门电路、逻辑压缩、组合电路、Johnson计数器、定序器设计及应用等,并介绍了实现最佳设计的各种工程设计方法。 本书可供信息工程、电子工程、微电子技术、计算技术、控制工程等领域的高等院校师生及工程技术人员、研制开发人员学习参考。 目录 -------------------------------------------------------------------------------- 第1章 ASIC=同步式设计=更高可靠性设计方法的实现 1.1 面向高性能系统的设计 1.2 同步电路的不足 1.3 同步电路设计 1.4 ASIC机能设计方法有待思考的地方 第2章 逻辑门电路详解 2.1 逻辑门电路的最基本的知识 2.2 加法电路及其构成方法 2.3 其他输入信号为3位的逻辑单元 2.4 复合逻辑门电路的调整 第3章 逻辑压缩与奎恩·麦克拉斯基法 3.1 除去玻色项的方法 3.2 奎恩·麦克拉斯基法 第4章 组合电路设计 4.1 选择器、解码器、编码器 4.2 比较和运算电路的设计 第5章 计数器电路的设计 5.1 计数器设计的基础 5.2 各种各样的计数器设计 5.3 LFSR(M系列发生器)的设计 第6章 江逊计数器 6.1 设计高可靠性的江逊计数器 6.2 冲刷顺序的组成 第7章 定序器设计 7.1 定序器电路设计的基础知识 7.2 把江逊计数器制作成状态机 7.3 一比特热位状态机与江逊状态机 7.4 跳跃动作的设计 第8章 定序器的高可靠化技术 8.1 高可靠性定序器概述 8.2 关注高可靠性江逊状态机 第9章 定序器的应用设计 9.1 软件处理与硬件处理 9.2 自动扶梯的设计 9.3 信号机的设计 9.4 数码存钱箱的设计 9.5 数字锁相环的设计 第10章 实现最佳设计的方法 10.1 如何杜绝运行错误的产生 10.2 16位乘法器的电路整定 10.3 冒泡分类器(bubble sorter)的电路设定 参考文献

    标签: ASIC 数字逻辑电路

    上传时间: 2013-06-15

    上传用户:龙飞艇

  • 基于FPGA的GPS接收机基带处理器的研究与设计.rar

    互联网、移动通信、星基导航是21世纪信息社会的三大支柱产业,而GPS系统的技术水平和发展历程代表着全世界卫星导航系统的发展状况。目前,我国已经成为GPS的使用大国,卫星导航产业链也已基本形成。然而,我们对GPS核心技术(即如何捕获卫星信号并保持对信号的跟踪)的研究还不够深入,我国GPS产品的核心部分多数还是靠进口。因此,对GPS核心技术的研究是非常紧迫的。 本文首先介绍了GPS的定位原理,之后阐述了GPS接收机的基本原理一直接扩频通信和GPS信号的结构与特性。从这些方面出发研究接收机基带处理器的捕获与跟踪设计方案。 设计过程中,先详细分析了滑动相关的捕获算法和基于FFT的快速捕获算法,并利用matlab进行了验证。由于前者灵活性好且可捕获到高精度的码相位和载波频率,适合于本文的硬件接收机,所以本文确定了滑动相关的捕获方案。 接着分析了跟踪环路的特点,跟踪模块采用码跟踪环和载波跟踪环耦合的方法实现。由于GPS系统通常工作在非常低的信噪比环境中,而非相干环在低信噪比下环路跟踪性能较好,所以码跟踪环采用非相干(DDLL)环实现。这种跟踪环路采用的鉴相器是能量鉴相器,对数据的调制和载波相位都不敏感,鉴相器不会产生不确定量。由于输入信号存在180°相位翻转,而COSTAS锁相环允许数据调制,对I支路和Q支路信号的180°相位翻转不敏感,所以载波跟踪环采用COSTAS锁相环实现。上述算法在matlab环境下得到了验证。 基带处理器电路的主要模块在Quartus II8.0开发平台上利用VHDL硬件描述语言实现。然后利用EDA仿真工具ModelSim-Altera6.1g进行了逻辑仿真。本设计满足系统功能和性能的要求,可以直接用于实时GPS接收机系统的设计中,为自主设计GPS接收机奠定了基础。 最后,由于在弱电磁环境下,捕获失锁后32PPS信号会丢失。所以设计了一个能授时和守时的算法去得到与GPS时同步的精确授时秒信号。并且实现了这个算法。

    标签: FPGA GPS 接收机

    上传时间: 2013-04-24

    上传用户:zuozuo1215

  • LTE系统中基带DAGC的应用研究及FPGA实现.rar

    当今,移动通信正处于向第四代通信系统发展的阶段,OFDM技术作为第四代数字移动通信(4G)系统的关键技术之一,被包括LTE在内的众多准4G协议所采用。IDFT/DFT作为OFDM系统中的关键功能模块,其精度对基带解调性能产生着重大的影响,尤其对LTE上行所采用的SC_FDMA更是如此。为了使定点化IDFT/DFT达到较好的性能,本文采用数字自动增益控制(DAGC)技术,以解决过大输入信号动态范围所造成的IDFT/DFT输出信噪比(SNR)恶化问题。 首先,本文简单介绍了较为成熟的AAGC(模拟AGC)技术,并重点关注近年来为了改善其性能而兴起的数字化AGC技术,它们主要用于压缩ADC输入动态范围以防止其饱和。针对基带处理中具有累加特性的定点化IDFT/DFT技术,进一步分析了AAGC技术和基带DAGC在实施对象,实现方法等上的异同点,指出了基带DAGC的必要性。 其次,根据LTE协议,搭建了从调制到解调的基带PUSCH处理链路,并针对基于DFT的信道估计方法的缺点,使用简单的两点替换实现了优化,通过高斯信道下的MATLAB仿真,证明其可以达到理想效果。仿真结果还表明,在不考虑同步问题的高斯信道下,本文所搭建的基带处理链路,采用64QAM进行调制,也能达到在SNR高于17dB时,硬判译码结果为极低误码率(BER)的效果。 再次,在所搭建链路的基础上,通过理论分析和MATLAB仿真,证明了包括时域和频域DAGC在内的基带DAGC具有稳定接收链路解调性能的作用。同时,通过对几种DAGC算法的比较后,得到的一套适用于实现的基带DAGC算法,可以使IDFT/DFT的输出SNR处于最佳范围,从而满足LTE系统基带解调的要求。针对时域和频域DAGC的差异,分别选定移位和加法,以及查表的方式进行基带DAGC算法的实现。 最后,本文对选定的基带DAGC算法进行了FPGA设计,仿真、综合和上板结果说明,时域和频域DAGC实现方法占用资源较少,容易进行集成,能够达到的最高工作频率较高,完全满足基带处理的速率要求,可以流水处理每一个IQ数据,使之满足基带解调性能。

    标签: DAGC FPGA LTE

    上传时间: 2013-05-17

    上传用户:laozhanshi111

  • 基于FPGA的多平台虚拟仪器研究设计.rar

    虚拟仪器技术是以传感器、信号测量与处理、微型计算机等技术为基础而形成的一门综合应用技术。目前虚拟仪器大部分是基于PC机,利用PCI等总线技术传输数据,数据卡插拔不便,便携性差。随着嵌入式技术的飞速发展,嵌入式系统平台已经应用到各个领域,而市场上的嵌入式虚拟仪器系统还相当少,各种研究工作才刚刚起步,各种高性能的虚拟仪器和处理系统在现代工业控制和科学研究中已成为必不可少的部分。因此在我国开发具有较高性能、接口灵活、功能多样化、低成本的虚拟仪器装置势在必行。 针对目前虚拟仪器系统发展趋势和特点,采用FPGA技术,进行一种支持多种平台的高速虚拟仪器系统的设计与研究,并针对高速虚拟仪器系统中的一些技术难点提出解决方案。首先进行了系统的总体设计,确定了采用FPGA作为系统的控制核心,并选取了Labview作为PC平台应用程序开发工具,利用USB2.0接口来进行数据传输;同时选取嵌入式处理器S3C2410以及WinCE作为嵌入式系统硬软件平台。随后进行了各个具体模块的设计,在硬件方面,分别设计了前端处理电路,ADC电路以及USB接口电路。在软件方面,进行了FPGA控制程序的设计工作,实现了对各个模块和接口电路的控制功能。在上层应用程序的设计方面,设计了Labview应用程序,实现了波形显示和频谱分析等仪器功能,人机界面良好。在嵌入式平台上面,进行了WinCE下GPIO驱动程序设计,并在上层应用程序中调用驱动来进行数据的读取。为了解决高速ADC与数据缓存器的速度不匹配的问题,提出利用多体交叉式存储器结构的设计方案,并在FPGA内对控制程序进行了设计,对其时序进行了仿真。 最后对系统进行了联合调试工作,利用上层软件对输入波形进行采集。根据调试结果看,该系统对输入信号进行了较好的采样和存储,还原了波形,达到了预期效果。课题研究并且对设计出一种支持多平台的新型虚拟仪器系统,具有性能好、使用灵活,节省成本等特点,具有较高的研究价值和现实意义。

    标签: FPGA 虚拟仪器

    上传时间: 2013-04-24

    上传用户:shwjl

  • 基于FPGA的PWMD类音频功率放大器的设计.rar

    数字D类音频放大器,也叫数字脉冲调制放大器,具有效率高,低电压,低失真的特点,在低成本,高性能的消费类产品特别是便携式设备中得到越来越广泛的应用。数字D类放大器包括数字脉冲宽度调制(PWM)和输出级(含低通滤波器)两个部分,数字PWM又包括两个部分,采样处理和脉冲产生。传统的采样处理算法运算复杂,硬件实现成本高,面积大,从而导致功耗也大,不适合当今向低功耗发展的趋势。 本文在传统算法的基础上提出了一种新的算法,该算法不包括乘法或者除法这些计算复杂和非常消耗硬件资源的单元,只含加法和减法运算。在推导出该算法的傅立叶表达式后,在MATLAB的simulink中建立系统模型进行仿真以验证算法的可行性,在输入信号频率为1kHZ,采样频率为48kHZ,电源电压为10V,输出负载为4Ω的条件下,得到的总谐波失真为0.12%,符合D类放大器的性能要求。本文还在基于Xilinx公司的Spartan-3系列FPGA的基础上实现了该算法的电路结构,综合结果表明,实现基于本文算法的数字D类音频系统所需要的硬件资源大大减少,从而减少了功耗。 关键词:D类放大器;脉冲宽度调制;采样算法;数字音频放大器;FPGA

    标签: FPGA PWMD 音频功率放大器

    上传时间: 2013-07-18

    上传用户:zhuoying119

  • WCDMA数字直放站中数字预失真研究及其FPGA实现.rar

    现代社会对各种无线通信业务的需求迅猛增长,这就要求无线通信在具有较高传输质量的同时,还必须具有较大的传输容量。这种需求要求在无线通信中必须采用效率较高的线性调制方式,以提高有限频带带宽的数据速率和频谱利用率,而效率较高的调制方式通常会对发端发射机的线性要求较高,这就使功率放大器线性化技术成为下一代无线通信系统的关键技术之一。 在本文中,研究了前人所提出的各种功放线性化技术,如功率回退法、正负反馈法、预失真和非线性器件法等等,针对功率放大器对信号的失真放大问题进行研究,对比和研究了目前广泛流行的自适应数字预失真算法。在一般的自适应数字预失真算法中,主要有两类:无记忆非线性预失真和有记忆非线性预失真。无记忆非线性预失真主要是通过比较功率放大器的反馈信号和已知输入信号的幅度和相位的误差来估计预失真器的各种修正参数。而有记忆非线性预失真主要是综合考虑功率放大器非线性和记忆性对信号的污染,需要同时分析信号的当前状态和历史状态。在对比完两种数字预失真算法之后,文章着重分析了有记忆预失真算法,选择了其中的多项式预失真算法进行了具体分析推演,并通过软件无线电的方法将数字信号处理与FPGA结合起来,在内嵌了System Generator软件的Matlab/Simulink上对该算法进行仿真分析,证明了这个算法的性能和有效性。 本文另外一个最重要的创新点在于,在FPGA设计上,使用了系统级设计的思路,与Xilinx公司提供的软件能够很好的配合,在完成仿真后能够直接将代码转换成FPGA的网表文件或者硬件描述语言,大大简化了开发过程,缩短了系统的开发周期。

    标签: WCDMA FPGA 数字

    上传时间: 2013-06-20

    上传用户:handless

  • 16bit音频过采样DAC的FPGA设计实现.rar

    基于∑-△噪声整形技术和过采样技术的数模转换器(DAC)可以可靠地把数字信号转换成为高精度的模拟信号。采用这一结构进行数模转换具有诸多优点,例如极低的失配噪声和高的可靠性,便于作为IP模块嵌入到其他芯片系统中等,更重要的是可以得到其他DAC结构所无法达到的精度和动态范围。在高精度测量、音频转换、汽车电子等领域有着广泛的应用价值。 由于非线性和不稳定性的存在,高阶∑-△调制器的设计与实现存在较大的难度。本设计综合大量文献中的经验原则和方法,首先阐述了∑-△调制器的一般原理,并讨论了一般结构调制器的设计过程,然后描述了稳定的高阶高精度调制器的设计流程。根据市场需求,设定了整个设计方案的性能指标,并据此设计了达到16bit精度和满量程输入范围的三阶128倍过采样调制器。 本设计采用∑-△结构,根据系统要求设计了量化器位数、调制器过采样比和阶数。在分析高阶单环路调制器稳定性的基础上,成功设计了六位量化三阶单环路调制器结构。在16比特的输入信号下,达到了90dB左右的信噪比。该设计已经在Cyclone系列FPGA器件下得到硬件实现和验证,并实现了实时音频验证。测试表明,该DAC模块输出信号的信噪比能满足16比特数据转换应用的分辨率要求,并具备良好的兼容性和通用性。 本设计可作为IP核广泛地在其他系统中进行复用,具有很强的应用性和一定的创新性。

    标签: FPGA bit DAC

    上传时间: 2013-07-10

    上传用户:chuandalong

  • FPGA可配置端口电路的设计.rar

    可配置端口电路是FPGA芯片与外围电路连接关键的枢纽,它有诸多功能:芯片与芯片在数据上的传递(包括对输入信号的采集和输出信号输出),电压之间的转换,对外围芯片的驱动,完成对芯片的测试功能以及对芯片电路保护等。 本文采用了自顶向下和自下向上的设计方法,依据可配置端口电路能实现的功能和工作原理,运用Cadence的设计软件,结合华润上华0.5μm的工艺库,设计了一款性能、时序、功耗在整体上不亚于xilinx4006e[8]的端口电路。主要研究以下几个方面的内容: 1.基于端口电路信号寄存器的采集和输出方式,本论文设计的端口电路可以通过配置将它设置成单沿或者双沿的触发方式[7],并完成了Verilog XL和Hspiee的功能和时序仿真,且建立时间小于5ns和保持时间在0ns左右。和xilinx4006e[8]相比较满足设计的要求。 2.基于TAP Controller的工作原理及它对16种状态机转换的控制,对16种状态机的转换完成了行为级描述和实现了捕获、移位、输出、更新等主要功能仿真。 3.基于边界扫描电路是对触发器级联的构架这一特点,设计了一款边界扫描电路,并运用Verilog XL和Hspiee对它进行了功能和时序的仿真。达到对芯片电路测试设计的要求。 4.对于端口电路来讲,有时需要将从CLB中的输出数据实现异或、同或、与以及或的功能,为此本文采用二次函数输出的电路结构来实现以上的功能,并运用Verilog XL和Hspiee对它进行了功能和时序的仿真。满足设计要求。 5.对于0.5μm的工艺而言,输入端口的电压通常是3.3V和5V,为此根据设置不同的上、下MOS管尺寸来调整电路的中点电压,将端口电路设计成3.3V和5V兼容的电路,通过仿真性能上已完全达到这一要求。此外,在输入端口处加上扩散电阻R和电容C组成噪声滤波电路,这个电路能有效地抑制加到输入端上的白噪声型噪声电压[2]。 6.在噪声和延时不影响电路正常工作的范围内,具有三态控制和驱动大负载的功能。通过对管子尺寸的大小设置和驱动大小的仿真表明:在实现TTL高电平输出时,最大的驱动电流达到170mA,而对应的xilinx4006e的TTL高电平最大驱动电流为140mA[8];同样,在实现CMOS高电平最大驱动电流达到200mA,而xilinx4006e的CMOS驱动电流达到170[8]mA。 7.与xilinx4006e端口电路相比,在延时和面积以及功耗略大的情况下,本论文研究设计的端口电路增加了双沿触发、将输出数据实现二次函数的输出方式、通过添加译码器将配置端口的数目减少的新的功能,且驱动能力更加强大。

    标签: FPGA 可配置 端口

    上传时间: 2013-07-20

    上传用户:顶得柱

  • 软件无线电中数字下变频技术研究及FPGA实现.rar

    软件无线电(SDR,Software Defined Radio)由于具备传统无线电技术无可比拟的优越性,已成为业界公认的现代无线电通信技术的发展方向。理想的软件无线电系统强调体系结构的开放性和可编程性,减少灵活性著的硬件电路,把数字化处理(ADC和DAC)尽可能靠近天线,通过软件的更新改变硬件的配置、结构和功能。目前,直接对射频(RF)进行采样的技术尚未实现普及的产品化,而用数字变频器在中频进行数字化是普遍采用的方法,其主要思想是,数字混频器用离散化的单频本振信号与输入采样信号在乘法器中相乘,再经插值或抽取滤波,其结果是,输入信号频谱搬移到所需频带,数据速率也相应改变,以供后续模块做进一步处理。数字变频器在发射设备和接收设备中分别称为数字上变频器(DUC,Digital Upper Converter)和数字下变频器(DDC,Digital Down Converter),它们是软件无线电通信设备的关键部什。大规模可编程逻辑器件的应用为现代通信系统的设计带来极大的灵活性。基于FPGA的数字变频器设计是深受广大设计人员欢迎的设计手段。本文的重点研究是数字下变频器(DDC),然而将它与数字上变频器(DUC)完全割裂后进行研究显然是不妥的,因此,本文对数字上变频器也作适当介绍。 第一章简要阐述了软件无线电及数字下变频的基本概念,介绍了研究背景及所完成的主要研究工作。 第二章介绍了数控振荡器(NCO),介绍了两种实现方法,即基于查找表和基于CORDIC算法的实现。对CORDIc算法作了重点介绍,给出了传统算法和改进算法,并对基于传统CORDIC算法的NCO的FPGA实现进行了EDA仿真。 第三章介绍了变速率采样技术,重点介绍了软件无线电中广泛采用的级联积分梳状滤波器 (cascaded integratot comb, CIC)和ISOP(Interpolated Second Order Polynomial)补偿法,对前者进行了基于Matlab的理论仿真和FPGA实现的EDA仿真,后者只进行了基于Matlab的理论仿真。 第四章介绍了分布式算法和软件无线电中广泛采用的半带(half-band,HB)滤波器,对基于分布式算法的半带滤波器的FPGA实现进行了EDA仿真,最后简要介绍了FIR的多相结构。 第五章对数字下变频器系统进行了噪声综合分析,给出了一个噪声模型。 第六章介绍了数字下变频器在短波电台中频数字化应用中的一个实例,给出了测试结果,重点介绍了下变频器的:FPGA实现,其对应的VHDL程序收录在本文最后的附录中,希望对从事该领域设计的技术人员具有一定参考价值。

    标签: FPGA 软件无线电 数字下变频

    上传时间: 2013-06-30

    上传用户:huannan88