虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

SATA2

SATAII是芯片巨头Intel英特尔与硬盘巨头Seagate希捷在SATA的基础上发展起来的,其主要特征是外部传输率从SATA的150MB/s进一步提高到了300MB/s,
  • SATA2.0硬盘加解密接口芯片数据通路的设计与FPGA实现.rar

    SATA接口是新一代的硬盘串行接口标准,和以往的并行硬盘接口比较它具有支持热插拔、传输速率快、执行效率高的明显优势。SATA2.0是SATA的第二代标准,它规定在数据线上使用LVDS NRZ串行数据流传输数据,速率可达3Gb/s。另外,SATA2.0还具有支持NCQ(本地命令队列)、端口复用器、交错启动等一系列技术特征。正是由于以上的种种技术优点,SATA硬盘业已被广泛的使用于各种企业级和个人用户。 硬盘作为主要的信息载体之一,其信息安全问题尤其引起人们的关注。由于在加密时需要实时处理大量的数据,所以对硬盘数据的加密主要使用带有密钥的硬件加密的方式。因此将硬盘加密和SATA接口结合起来进行设计和研究,完成基于SATA2.0接口的加解密芯片系统设计具有重要的使用价值和研究价值。 本论文首先介绍了SATA2.0的总线协议,其协议体系结构包括物理层、链路层、传输层和命令层,并对系统设计中各个层次中涉及的关键问题进行了阐述。其次,本论文对ATA协议和命令进行了详细的解释和分析,并针对设计中涉及的命令和对其做出的修改进行了说明。接着,本论文对SATA2.0加解密控制芯片的系统设计进行了讲解,包括硬件平台搭建和器件选型、模块和功能划分、系统工作原理等,剖析了系统设计中的难点问题并给出解决问题的方法。然后,对系统数据通路的各个模块的设计和实现进行详尽的阐述,并给出各个模块的验证结果。最后,本文简要的介绍了验证平台搭建和测试环境、测试方法等问题,并分析测试结果。 本SATA2.0硬盘加解密接口电路在Xilinx公司的Virtex5 XC5VLX50T FPGA上进行测试,目前工作正常,性能良好,已经达到项目性能指标要求。本论文在SATA加解密控制芯片设计与实现方面的研究成果,具有通用性、可移植性,有一定的理论及经济价值。

    标签: SATA FPGA 2.0

    上传时间: 2013-04-24

    上传用户:JIUSHICHEN

  • SATA协议分析及其FPGA实现.rar

    并行总线PATA从设计至今已快20年历史,如今它的缺陷已经严重阻碍了系统性能的进一步提高,已被串行ATA(Serial ATA)即SATA总线所取代。SATA作为新一代磁盘接口总线,采用点对点方式进行数据传输,内置数据/命令校验单元,支持热插拔,具有150MB/s(SATA1.0)或300MB/s(SATA2.0)的传输速度。目前SATA已在存储领域广泛应用,但国内尚无独立研发的面向FPGA的SATAIP CORE,在这样的条件下设计面向FPGA应用的SATA IP CORE具有重要的意义。 本论文对协议进行了详细的分析,建立了SATA IP CORE的层次结构,将设备端SATA IP CORE划分成应用层、传输层、链路层和物理层;介绍了实现该IPCORE所选择的开发工具、开发语言和所选用的芯片;在此基础上着重阐述协议IP CORE的设计,并对各个部分的设计予以分别阐述,并编码实现;最后进行综合和测试。 采用FPGA集成硬核RocketIo MGT(RocketIo Multi-Gigabit Transceiver)实现了1.5Gbps的串行传输链路;设计满足协议需求、适合FPGA设计的并行结构,实现了多状态机的协同工作:在高速设计中,使用了流水线方法进行并行设计,以提高速度,考虑到系统不同部分复杂度的不同,设计采用部分流水线结构;采用在线逻辑分析仪Chipscope pro与SATA总线分析仪进行片上调试与测试,使得调试工作方便快捷、测试数据准确;严格按照SATA1.0a协议实现了SATA设备端IP CORE的设计。 最终测试数据表明,本论文设计的基于FPGA的SATA IP CORE满足协议需求。设计中的SATA IP CORE具有使用方便、集成度高、成本低等优点,在固态电子硬盘SSD(Solid-State Disk)开发中应用本设计,将使开发变得方便快捷,更能够适应市场需求。

    标签: SATA FPGA 协议分析

    上传时间: 2013-06-21

    上传用户:xzt

  • sata 2.5协议

    SATA2.5协议规范,英文版的,不容易找到哦

    标签: sata 2.5 协议

    上传时间: 2013-06-17

    上传用户:gxrui1991

  • 嵌入式SATA存储系统的研究

    新兴的SAl'A技术为高速、便携、高性价比的嵌入式硬盘存储系统的研制提供了保障。将SATA2.5协议写到Virtex一5 FPGA内部,通过GTP收发器实现高速串行数据传输与存储,可以突破PCI接口的瓶颈,使系统具备高速、实时、便携和海量存储等特点。关键词:SATA;Virtex一5;FPGA;硬盘存储;嵌入式系统 SATA硬盘作为新型的存储介质,具有高速、海量、价格低廉、使用方便等优点。SATA2.5协议支持3.0Gb/s的接口速度,SATA2.5硬盘的持续存储速度可达80MB/8,最大存储容量已经达到750GB(如希捷ST3750640AS硬盘)。SAlrA硬盘已经占据了大部分的PC机硬盘市场,并且正向工作站、服务器的领域迈进。而在嵌入式的应用领域,目前的硬盘存储设备依然广泛采用传统的IDE(ATA)和SCSI硬盘。由于两者存在低速或昂贵的缺点。因此如何将SATA硬盘存储应用到嵌入式系统中就成为今后相关领域的研究重点。

    标签: SATA 嵌入式 存储系统

    上传时间: 2015-01-03

    上传用户:yy541071797