虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

PADS-PCB-CAM-PDF-MODEL-V

  • VIP专区-嵌入式/单片机编程源码精选合集系列(85)

    VIP专区-嵌入式/单片机编程源码精选合集系列(85)资源包含以下内容:1. 功能:浮点数开平方(快速逼近算法) 入口条件:操作数在[R0]中。 出口信息:OV=0时.2. 全套成熟无线遥控汽车防盗器资料.3. 万年历制作全套资料,19位LED数码管显示.4. 这是一个制作小车的电路原理图,里面有许多一个关键的电气参数.保证传感器的正常工作..5. AVR的一些实验例程.6. 一块2410板的原理图和PCB,PCB是PADS格式,仅工参考.7. the 232 control demo source for the NEC mcu.8. the timer control demo source for the NEC mcu.9. the csi control demo source for the NEC mcu.10. the iic control demo source for the NEC mcu.11. the UART control demo source for the NEC mcu.12. IIC,24C08控制 PTD电子音量 总线控制.13. cpld入门教材. 介绍基本vhdl语法.适合快速入门理解含有cpld器件的电路板..14. AD7843在ADS1.2环境下的一个驱动程序.15. 在ARM平台上开发的LCD驱动程序,具有很强的通用性..16. 飞利浦lpc2200实验箱关于spi接口的驱动代码。代码非常详细有注释。.17. 深圳优龙公司PXA270的cpld的vhdl逻辑代码.18. Trimble的GPS模块的原理图和pcb。.19. 华为GTM900开发板资料.20. LPC2100专用工程模板,在ADS1.2下使用.21. 基于FPGA的嵌入式系统设计。相对FPGA的迅速发展及盛行.22. Latest ucFS v.3.10d PC Windows Evaluation Ed., provided with free Flash driver.23. Compact Flash Support For Nios II 5.0, To download supporting materials for this new Compact Flash s.24. DS18B20在KEIL uvision2.0下写的源代码!.25. 1302编程源码.26. 嵌入式系统 PHILIP ARM7 LPC2200开发必备.27. 硬盘播放器制作DIY.28. 主要讲解NIOSII从入门到精通的课件.29. TI 公司最新DSP TMS320F28335 SDFlash JTAG Flash Programming Utilities 驱动程序。.30. 基于S3C2410的xmodem协议实现.31. 上网随便上,想上就上无所不能 上?姹闵?想上就上无所不能.32. arm s3c2410常用头文件整理.33. cc2430相关资料.34. KEIL C上的门禁控制器源代码.35. 2410 外部中断原代码 ADS开发环境.36. 2410 实验1 ARM汇编指令实验 ADS环境.37. 2410 实验2 C和汇编语言的混合编程实验 ADS环境.38. 2410 实验4 看门狗应用实验 ADS环境.39. 2410 实验5 PWM timer实验 ADS环境.40. 本文详细分析了COOLRUNNER系列CPLD的结构,特点及功能,使用VHDL语言实现数字逻辑,实现了水下冲击波记录仪电路的数字电路部分..

    标签: 金属材料

    上传时间: 2013-04-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(109)

    VIP专区-嵌入式/单片机编程源码精选合集系列(109)资源包含以下内容:1. 包括汇编和c++编写的万年历.2. FIFO(先进先出队列)通常用于数据的缓存和用于容纳异步信号的频率或相位的差异。本FIFO的实现是利用 双口RAM 和读写地址产生模块来实现的.FIFO的接口信号包括异步的写时钟(wr_clk)和读.3. Analog signals are represented by 64 bit buses. They are converted to real and from real representa.4. 该文件为lpc2106 ARM7在THREDX操作系统下的启动代码.5. 该代码为时钟芯片PCF8563的控制程序.6. 此代码位PIC单片机的PID控温程序.7. threadx技术手册.8. 一个关于fat32系统文件的说明,对了解fat32文件系统系统结构很有用.9. 典型的开发模型有:①瀑布模型(waterfall model);②渐增模型/演化/迭代(incremental model);③原型模型(prototype model);④螺旋模型(spiral m.10. zigbee协议中.11. 三菱FX系列PLC与PC机通过编程口通讯的地址转换软件,非常的使用!.12. 文章讲述了类似于PDOP值的描述整周模糊度精度的指标因子。对于整周模糊度的判断具有重要意义。.13. 讲述了如何对主引导扇区进行备份和恢复.14. LED驱动电路实例。配具体的电路图供大家参考使用.15. Pcb初级教程.16. 嵌入式内存数据库系统eXtremeDB用户指南.17. 对引导区的学驻病毒进行了剖析.18. LPC2146 的USB 开发.19. 非常详细步进电机控制原理图.20. C++ GUI Programming with Qt 4一书中的第一章源码.21. C++ GUI Programming with Qt 4一书中的chap02源码.22. C++ GUI Programming with Qt 4一书中的chap03源码.23. C++ GUI Programming with Qt 4一书中的chap05源码.24. C++ GUI Programming with Qt 4一书中的chap06源码.25. C++ GUI Programming with Qt 4一书中的chap07源码.26. C++ GUI Programming with Qt 4一书中的chap8源码.27. C++ GUI Programming with Qt 4一书中的chap9源码.28. 具有無線網路功能下載至嵌入式開發平台上用的.o黨 driver.29. ADI DSP ADSP-BF561原装开发板的PCB图,非常难得! POWERPCB 5.0可以打开..30. ADI TS201 原装系统板PCB图, 此PCB图是用POWERPCB 5.0画的, 直接导入既可打开, 目前做相控阵雷达,3G 基站,WIMAX基站等均采用ADSP-TS201..31. ADI DSP BF561 系统板原理图,只有PDF格式的,.32. 利用89C52开发的.33. PCtoLCD2002完美版 取字模软件.34. lm317 计算工具.35. 这是一个非常不错的12864液晶串口程序.36. 嵌入式系统开发原理、工具及过程 值得推荐.37. minigui--面向实时嵌入式系统的图形用户界面。此文档介绍了miniguide体系结构。.38. 该源码与书本配套.39. 《EVC高级编程及其应用开发》一书的全部源代码.40. 将MATLAB窗口画在VC的GUI上 轻松实现用MATLAB和VC画图.

    标签: 网络通信协议

    上传时间: 2013-06-12

    上传用户:eeworm

  • 目前最全的PCB元件库的总合集,9.13G

    同事的库 -2020-03-03 16:26 靳老师库 -2020-03-03 16:26 【超级库(酷)】常用SCH,PCB封装库 -2020-03-03 16:26 xx老师的库 -2020-03-03 16:26 LZH_PCB集成库 -2020-03-03 16:26 DXP AD Altium Designer PCB封装库 元件库1.8G史上最全3D库模型 -2020-03-03 16:26 Altium designer 元件库大全(终结版) -2020-03-03 16:26 Altium designer 元件库大全 -2020-03-03 16:26 ALL_PCB -2020-03-03 16:26 AD元件库 -2020-03-03 16:26 3D模型库 -2020-03-03 16:26 3 D Model lib -2020-03-03 16:26 各种封装+学习+尺寸资料.rar 1.3G2020-03-03 16:26 STEP_AD_3D封装库.PcbLib 71.4M2020-03-03 16:26 PCB2D3D库0201.PcbLib 38.8M2020-03-03 16:26 MID平板常用PCB库.PcbLib 5.1M2020-03-03 16:26 Altium常用2D标准封装.lib 6M2020-03-03 16:26 AltiumDesigner官网元件库.zip 305.6M2020-03-03 16:26 Altium-常用3D封装库(STEP).rar 18.7M2020-03-03 16:26 Altium 15最新器件库.rar 535.8M2020-03-03 16:26 AD库文件(元件库+封装库+3D模型).rar 381.1M2020-03-03 16:26 AD库文件(元件库+封装库+3D模型) 淘宝网上买的3D元器件库需要的自行下载.zip 355.8M2020-03-03 16:26 AD-3D封装.zip 90.9M2020-03-03 16:26 3D模型.rar

    标签: 模具型芯 数控加工 电极

    上传时间: 2013-08-05

    上传用户:eeworm

  • PADS 9.5 中文版

    Mentor Graphics公司的PADS Layout/Router环境作为业界主流的PCB设计平台,以其强大的交互式布局布线功能和易学易用等特点,在通信、半导体、消费电子、医疗电子等当前最活跃的工业领域得到了广泛的应用。PADS Layout/ Router支持完整的PCB设计流程,涵盖了从原理图网表导入,规则驱动下的交互式布局布线,DRC/DFT/DFM校验与分析,直到最后的生产文件(Gerber)、装配文件及物料清单(BOM)输出等全方位的功能需求,确保PCB工程师高效率地完成设计任务.

    标签: 无线电爱好者 红外线 超声波 遥控

    上传时间: 2013-06-29

    上传用户:eeworm

  • PADS 9.2

    PADSLayout(PowerPCB)提供了与其他PCB设计软件、CAM加工软件、机械设计软件的接口(如下图所示),方便了不同设计环境下的数据转换和传递工作。

    标签: HID 11

    上传时间: 2013-04-15

    上传用户:eeworm

  • PADS 9.3

    PADSLayout(PowerPCB)提供了与其他PCB设计软件、CAM加工软件、机械设计软件的接口(如下图所示),方便了不同设计环境下的数据转换和传递工作。

    标签: 红外 光技术

    上传时间: 2013-07-20

    上传用户:eeworm

  • 数字系统设计基础教程 PDF版

    数字系统设计基础教程 PDF版

    标签: 数字系统设计 基础教程

    上传时间: 2013-05-17

    上传用户:eeworm

  • PCB制程综览

    PCB制程综览

    标签: PCB 制程

    上传时间: 2013-06-20

    上传用户:eeworm

  • 数字逻辑基础教程 PDF版

    数字逻辑基础教程 PDF版

    标签: 数字逻辑 基础教程

    上传时间: 2013-04-15

    上传用户:eeworm

  • 微波技术基础,实验(省优课件) PDF版

    微波技术基础,实验(省优课件) PDF版

    标签: 微波技术 实验

    上传时间: 2013-04-15

    上传用户:eeworm