虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

进<b>制数</b>

  • VK3604A/B小体积蓝牙音箱4键触摸触控检测芯片多种输出方式选择:锁存/直接输出

    产品型号:VK3604A 产品品牌:VINKA/永嘉微电 封装形式:SOP16 产品年份:新年份 联 系 人:陈锐鸿 Q Q:361 888 5898 联系手机:188 2466 2436(信) 概述: VK3604/VK3604A具有4个触摸按键,可用来检测外部触摸按键上人手的触摸动作。该芯片具有较高的 集成度,仅需极少的外部组件便可实现触摸按键的检测。 提供了4路输出功能,可通过IO脚选择输出电平,输出模式,输出脚结构,单键/多键和最 长输出时间。芯片内部采用特殊的集成电路,具有高电源电压抑制比,可减少按键检测错误的 发生,此特性保证在不利环境条件的应用中芯片仍具有很高的可靠性。 此触摸芯片具有自动校准功能,低待机电流,抗电压波动等特性,为各种触摸按键+IO输 出的应用提供了一种简单而又有效的实现方法。 特点: • 工作电压 2.4-5.5V • 待机电流7uA/3.3V,14uA/5V • 上电复位功能(POR) • 低压复位功能(LVR)  • 触摸输出响应时间:工作模式 48mS ,待机模式160mS • 通过AHLB脚选择输出电平:高电平有效或者低电平有效 • 通过TOG脚选择输出模式:直接输出或者锁存输出 • 通过SOD脚选择输出方式:CMOS输出或者开漏输出 • 通过SM脚选择输出:多键有效或者单键有效 • 通过MOT脚有效键最长输出时间:无穷大或者16S • 通过CS脚接对地电容调节整体灵敏度(1-47nF)  • 各触摸通道单独接对地小电容微调灵敏度(0-50pF) • 上电0.25S内为稳定时间,禁止触摸 • 上电后4S内自校准周期为64mS,4S无触摸后自校准周期为1S • 封装SOP16(150mil)(9.9mm x 3.9mm PP=1.27mm) ———————————————— 产品型号:VK3604B 产品品牌:VINKA/永嘉微电 封装形式:TSSOP16 产品年份:新年份 联 系 人:陈锐鸿 1.概述 VK3604B具有4个触摸按键,可用来检测外部触摸按键上人手的触摸动作。该芯片具有 较高的集成度,仅需极少的外部组件便可实现触摸按键的检测。 提供了4路直接输出功能。芯片内部采用特殊的集成电路,具有高电源电压抑制比,可 减少按键检测错误的发生,此特性保证在不利环境条件的应用中芯片仍具有很高的可靠性。 此触摸芯片具有自动校准功能,低待机电流,抗电压波动等特性,为各种触摸按键+IO 输出的应用提供了一种简单而又有效的实现方法。   特点  • 工作电压 2.4-5.5V • 待机电流7uA/3.3V,14uA/5V • 上电复位功能(POR) • 低压复位功能(LVR)  • 触摸输出响应时间:  工作模式 48mS 待机模式160mS • CMOS输出,低电平有效,支持多键  • 有效键最长输出16S • 无触摸4S自动校准  • 专用脚接对地电容调节灵敏度(1-47nF)  • 各触摸通道单独接对地小电容微调灵敏度(0-50pF). • 上电0.25S内为稳定时间,禁止触摸. • 封装 TSSOP16L(4.9mm x 3.9mm PP=1.00mm) KPP841 标准触控IC-电池供电系列: VKD223EB --- 工作电压/电流:2.0V-5.5V/5uA-3V   感应通道数:1    通讯界面  最长回应时间快速模式60mS,低功耗模式220ms    封装:SOT23-6 VKD223B ---  工作电压/电流:2.0V-5.5V/5uA-3V   感应通道数:1    通讯界面   最长回应时间快速模式60mS,低功耗模式220ms    封装:SOT23-6 VKD233DB --- 工作电压/电流:2.4V-5.5V/2.5uA-3V  1感应按键  封装:SOT23-6   通讯界面:直接输出,锁存(toggle)输出  低功耗模式电流2.5uA-3V VKD233DH ---工作电压/电流:2.4V-5.5V/2.5uA-3V  1感应按键  封装:SOT23-6  通讯界面:直接输出,锁存(toggle)输出  有效键最长时间检测16S VKD233DS --- 工作电压/电流:2.4V-5.5V/2.5uA-3V  1感应按键  封装:DFN6(2*2超小封装) 通讯界面:直接输出,锁存(toggle)输出  低功耗模式电流2.5uA-3V VKD233DR --- 工作电压/电流:2.4V-5.5V/1.5uA-3V  1感应按键  封装:DFN6(2*2超小封装) 通讯界面:直接输出,锁存(toggle)输出  低功耗模式电流1.5uA-3V VKD233DG --- 工作电压/电流:2.4V-5.5V/2.5uA-3V  1感应按键  封装:DFN6(2*2超小封装) 通讯界面:直接输出,锁存(toggle)输出   低功耗模式电流2.5uA-3V  VKD233DQ --- 工作电压/电流:2.4V-5.5V/5uA-3V  1感应按键  封装:SOT23-6 通讯界面:直接输出,锁存(toggle)输出    低功耗模式电流5uA-3V  VKD233DM --- 工作电压/电流:2.4V-5.5V/5uA-3V  1感应按键  封装:SOT23-6 (开漏输出) 通讯界面:开漏输出,锁存(toggle)输出    低功耗模式电流5uA-3V  VKD232C  --- 工作电压/电流:2.4V-5.5V/2.5uA-3V   感应通道数:2  封装:SOT23-6   通讯界面:直接输出,低电平有效  固定为多键输出模式,内建稳压电路 MTP触摸IC——VK36N系列抗电源辐射及手机干扰: VK3601L  --- 工作电压/电流:2.4V-5.5V/4UA-3V3  感应通道数:1  1对1直接输出 待机电流小,抗电源及手机干扰,可通过CAP调节灵敏  封装:SOT23-6 VK36N1D --- 工作电压/电流:2.2V-5.5V/7UA-3V3  感应通道数:1  1对1直接输出 触摸积水仍可操作,抗电源及手机干扰,可通过CAP调节灵敏封装:SOT23-6 VK36N2P --- 工作电压/电流:2.2V-5.5V/7UA-3V3  感应通道数:2    脉冲输出 触摸积水仍可操作,抗电源及手机干扰,可通过CAP调节灵敏封装:SOT23-6 VK3602XS ---工作电压/电流:2.4V-5.5V/60UA-3V  感应通道数:2  2对2锁存输出 低功耗模式电流8uA-3V,抗电源辐射干扰,宽供电电压   封装:SOP8 VK3602K --- 工作电压/电流:2.4V-5.5V/60UA-3V   感应通道数:2   2对2直接输出 低功耗模式电流8uA-3V,抗电源辐射干扰,宽供电电压   封装:SOP8 VK36N2D --- 工作电压/电流:2.2V-5.5V/7UA-3V3  感应通道数:2   1对1直接输出 触摸积水仍可操作,抗电源及手机干扰,可通过CAP调节灵敏封装:SOP8 VK36N3BT ---工作电压/电流:2.2V-5.5V/7UA-3V3  感应通道数:3  BCD码锁存输出 触摸积水仍可操作,抗电源及手机干扰,可通过CAP调节灵敏  封装:SOP8 VK36N3BD ---工作电压/电流:2.2V-5.5V/7UA-3V3  感应通道数:3  BCD码直接输出 触摸积水仍可操作,抗电源及手机干扰,可通过CAP调节灵敏  封装:SOP8 VK36N3BO ---工作电压/电流:2.2V-5.5V/7UA-3V3  感应通道数:3  BCD码开漏输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP8/DFN8(超小超薄体积) VK36N3D --- 工作电压/电流:2.2V-5.5V/7UA-3V3  感应通道数:3  1对1直接输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N4B ---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:4    BCD输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N4I---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:4    I2C输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N5D ---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:5   1对1直接输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N5B ---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:5    BCD输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N5I ---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:5    I2C输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N6D --- 工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:6   1对1直接输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N6B ---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:6    BCD输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N6I ---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:6    I2C输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N7B ---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:7    BCD输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N7I ---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:7    I2C输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N8B ---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:8    BCD输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N8I ---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:8    I2C输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N9I ---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:9    I2C输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N10I ---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:10    I2C输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) 1-8点高灵敏度液体水位检测IC——VK36W系列 VK36W1D  ---工作电压/电流:2.2V-5.5V/10UA-3V3  1对1直接输出  水位检测通道:1 可用于不同壁厚和不同水质水位检测,抗电源/手机干扰封装:SOT23-6 备注:1. 开漏输出低电平有效  2、适合需要抗干扰性好的应用 VK36W2D  ---工作电压/电流:2.2V-5.5V/10UA-3V3  1对1直接输出  水位检测通道:2 可用于不同壁厚和不同水质水位检测,抗电源/手机干扰封装:SOP8 备注:1.  1对1直接输出   2、输出模式/输出电平可通过IO选择 VK36W4D  ---工作电压/电流:2.2V-5.5V/10UA-3V3  1对1直接输出  水位检测通道:4 可用于不同壁厚和不同水质水位检测,抗电源/手机干扰封装:SOP16/DFN16 备注:1.  1对1直接输出   2、输出模式/输出电平可通过IO选择 VK36W6D  ---工作电压/电流:2.2V-5.5V/10UA-3V3  1对1直接输出  水位检测通道:6 可用于不同壁厚和不同水质水位检测,抗电源/手机干扰封装:SOP16/DFN16 备注:1.  1对1直接输出    2、输出模式/输出电平可通过IO选择 VK36W8I  ---工作电压/电流:2.2V-5.5V/10UA-3V3  I2C输出    水位检测通道:8 可用于不同壁厚和不同水质水位检测,抗电源/手机干扰封装:SOP16/DFN16 备注:1.  IIC+INT输出     2、输出模式/输出电平可通过IO选择  KPP841

    标签: 3604 输出 VK 体积 蓝牙音箱 检测 方式 芯片 触控 锁存

    上传时间: 2022-04-11

    上传用户:shubashushi66

  • VIP专区-嵌入式/单片机编程源码精选合集系列(65)

    VIP专区-嵌入式/单片机编程源码精选合集系列(65)资源包含以下内容:1. DM9161应用电路原理图来自DAVICOM网站。需要的可以自由下载.2. ALTERA CPLD器件的配置与下载,贡献给初学习者,非长有用.3. This design package includes reference materials for creating a USB - PS/2 combination mouse that a.4. 字符表示的十六进制数转化为相应的整数    错误则返回    -1   .5. C51的12864m.6. 8个字LED点阵的显示程序.7. 51+ch375超级经典的U盘读写程序嘿嘿赶快下载把.8. PWM控制电机C程序-已通过实验测试 PWM控制精确控制电机的转动..9. C51串口单工通讯程序一成功通过测试,请放心下载.10. 来自网上好心人的好东东,关于SD卡读写的,内附protel原理图.11. 设计输入 ! 多种设计输入方法 – Quartus II • 原理图式图形设计输入 • 文本编辑 – AHDL, VHDL, Verilog • 内存编辑 .12. 全是FPGA的例子 对大家应该有好处 大家赶快下把 知识不等人.13. 此为编程代码示例.14. 此为编程代码示例.15. 我在spartan-3e starter kit 的板上实现了mc8051.16. 完成MP3播放功能.17. 一个关于实时时钟驱动方面的程序(ARM7).18. 遍历二叉树的4个非递归算法 vc编程基础.19. 快速排序的非递归算法 vc编程练习.20. 雷达高频接收机.21. 我的开发板的所有测试程序.22. ps2的驱动.23. 密度测试仪的源码.24. 本程序主要内容18b20+s52+uart单点测温详细c51程序.25. CPLD 9536 程序 我自己用的代码. VHDL语言.26. AMD嵌入式处理器AU1200开发板原理图.27. cpld3128开发板的原理图 很有用,已经做成PCB,需要的话可以联系我.28. ertfs文件系统里面既有完整ucos程序.29. 基于atmega128的交通信号灯控制程序.30. 利用软件编写的I2C传输界面程序,适用于现有4位机等汇编语言中!.31. I2C控制程序,供大家学习参考,使用时根据自己的系统修改..32. This designs uses a Nios II system to demonstrate how to read from the SD card. The software reads W.33. his design is the initial design when the board is powered-up. It increments a counter and displays.34. 用于多于9个串口的ce驱动程序。时间仓促。可以参考.35. CSD卡的dos驱动程序源码.36. ADT700的小程序.37. 嵌入式的小程序.38. 基于cpld的hdb3编码器 基于cpld的hdb3编码器.39. 基于cpld的交通灯设计 ?赾pld的交通灯设计.40. 用于ARM9系列的S3C2440A的bootloader,Linux平台.

    标签: 固件

    上传时间: 2013-04-15

    上传用户:eeworm

  • 一个有关进制的和程序

    一个有关进制的和程序,它将一定范围内的数编制成组,通过从二进位制到十进位制, 进行编组,输入进制数和组数,即可自动计算出数据,并显示在屏幕上,同时输出到文件中,是很不错的程序,我用了好几天才编好,很精悍!

    标签: 进制 程序

    上传时间: 2015-07-06

    上传用户:dyctj

  • 输入一句子以及一子字符串(字符数分别小于50)

    输入一句子以及一子字符串(字符数分别小于50) ,在句子中搜索是否含有该子字符串。如果句子中不包含子串,则显示’No match!’;否则显示’Match’,并把该子串在句子中第一个匹配的位置用十六进制数显示出来. (比如 ‘we are studing abc’. 中包含’abc’子串,出现在第10H位上;但不包括’xyz’子串.)

    标签: 输入 字符串 字符

    上传时间: 2013-12-18

    上传用户:上善若水

  • 1.有三根杆子A,B,C。A杆上有若干碟子 2.每次移动一块碟子,小的只能叠在大的上面 3.把所有碟子从A杆全部移到C杆上 经过研究发现

    1.有三根杆子A,B,C。A杆上有若干碟子 2.每次移动一块碟子,小的只能叠在大的上面 3.把所有碟子从A杆全部移到C杆上 经过研究发现,汉诺塔的破解很简单,就是按照移动规则向一个方向移动金片: 如3阶汉诺塔的移动:A→C,A→B,C→B,A→C,B→A,B→C,A→C 此外,汉诺塔问题也是程序设计中的经典递归问题

    标签: 移动 发现

    上传时间: 2016-07-25

    上传用户:gxrui1991

  • 第一部分 基础篇 实例1 第一个C程序 2 实例2 求整数之积 6 实例3 比较实数大小 8 实例4 字符的输出 10 实例5 显示变量所占字节数 11 实例6 自增/自减运算 13 实

    第一部分 基础篇 实例1 第一个C程序 2 实例2 求整数之积 6 实例3 比较实数大小 8 实例4 字符的输出 10 实例5 显示变量所占字节数 11 实例6 自增/自减运算 13 实例7 数列求和 14 实例8 乘法口诀表 17 实例9 猜数字游戏 19 实例10 模拟ATM(自动柜员机)界面 22 实例11 用一维数组统计学生成绩 24 实例12 用二维数组实现矩阵转置 26 实例13 求解二维数组的最大/最小元素 29 实例14 利用数组求前n个质数 31 实例15 编制万年历 33 实例16 对数组元素排序 36 实例17 任意进制数的转换 37 实例18 判断回文数 39 实例19 求数组前n元素之和 41 实例20 求解钢材切割的最佳订单 42 实例21 通过指针比较整数大小 44 实例22 指向数组的指针 48 实例23 寻找指定元素的指针 50 实例24 寻找相同元素的指针 52 实例25 阿拉伯数字转换为罗马数字 53 实例26 字符替换 56 实例27 从键盘读入实数 57 实例28 字符行排版 59 实例29 字符排列 60 实例30 判断字符串是否回文 62 实例31 通讯录的输入输出 63 实例32 扑克牌的结构表示 68 实例33 用“结构”统计学生成绩 69 实例34 报数游戏 72 实例35 模拟社会关系 73

    标签: C程序 整数

    上传时间: 2016-12-04

    上传用户:lz4v4

  • 通信信号相干调制解调源码 Fc=10 %载频 Fs=40 %系统采样频率 Fd=1 %码速率 N=Fs/Fd df=10 numSymb=25 %进行仿真的信息代码个数 M=2 %进

    通信信号相干调制解调源码 Fc=10 %载频 Fs=40 %系统采样频率 Fd=1 %码速率 N=Fs/Fd df=10 numSymb=25 %进行仿真的信息代码个数 M=2 %进制数

    标签: numSymb 10 Fs Fd

    上传时间: 2016-12-08

    上传用户:nairui21

  • 用汇编语言编写输入十进制数

    用汇编语言编写输入十进制数,输出十六进制数,经过在DOS环境下调试已成功。

    标签: 汇编语言 十进制数 编写 输入

    上传时间: 2014-08-08

    上传用户:zhanditian

  • 给定两个集合A、B

    给定两个集合A、B,集合内的任一元素x满足1 ≤ x ≤ 109,并且每个集合的元素个数不大于105。我们希望求出A、B之间的关系。 任 务 :给定两个集合的描述,判断它们满足下列关系的哪一种: A是B的一个真子集,输出“A is a proper subset of B” B是A的一个真子集,输出“B is a proper subset of A” A和B是同一个集合,输出“A equals B” A和B的交集为空,输出“A and B are disjoint” 上述情况都不是,输出“I m confused!”

    标签:

    上传时间: 2017-03-15

    上传用户:yulg

  • 429总线协议说明

    ARINC429总线协议是美国航空电子工程委员会(Airlines Engineering Committee)于1977年7月提出的,并于同年发表并获得批准使用,它的全称是数字式信息传输系统(Digital Information Transmission System ) 。协议标准规定了航空电子设备及有关系统间的数字信息传输要求。ARINC429广泛应用在先进的民航客机中,如B-737、B-757、B-767,俄制军用飞机也选用了类似的技术。 ARINC429总线结构简单、性能稳定,抗干扰性强。最大的优势在于可靠性高。飞机上的ARINC429数据总线,用于在系统和设备之间传送上千种不同类型的参数,如航向、真空速、马赫数等。

    标签: 429总线协议

    上传时间: 2016-08-17

    上传用户:w50403