虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

模数<b>转换芯</b>片

  • 双曲线回归方程 HyperbolaRegress.cs 注意!该模型要求a与b的值要大于0!使用该模型时应注意验证这个限制条件。我在实现模型时未加入任何出错流程控制。X不能为0。 方程模型为

    双曲线回归方程 HyperbolaRegress.cs 注意!该模型要求a与b的值要大于0!使用该模型时应注意验证这个限制条件。我在实现模型时未加入任何出错流程控制。X不能为0。 方程模型为 public override double[] buildFormula() 得到系数数组,存放顺序与模型系数相反,即该数组中系数的值依次是b,a。 public override double forecast(double x) 预测函数,根据模型得到预测结果。 public override double computeR2()

    标签: HyperbolaRegress 模型 方程 cs

    上传时间: 2014-11-30

    上传用户:youke111

  • 使用DVCC实验系统中的并行接口芯片8255A的B口作输入口

    使用DVCC实验系统中的并行接口芯片8255A的B口作输入口,使工作于方式1,将PB0~PB7连接到手动开关K1~K8,将手动脉冲信号SP作为8255B口的选通信号,连接到PC2。将B品工作于方式1时的中断请求信号(PC0)连接到8255A的IR3,8255A的片选信号无需连接(系统已连接好)。8259A的CS连接地址译码输出端Y6,8259A的端口地址为60H、61H

    标签: 8255A DVCC 实验系统 并行接口

    上传时间: 2013-12-28

    上传用户:xinyuzhiqiwuwu

  • Java 版本的 PHP serialize/unserialize 完整实现。目前实现了对各种基本类型、数组、ArrayList、HashMap、和其它可序列化对象的序列化。实现了 PHP 5 中的

    Java 版本的 PHP serialize/unserialize 完整实现。目前实现了对各种基本类型、数组、ArrayList、HashMap、和其它可序列化对象的序列化。实现了 PHP 5 中的Serializable 接口的支持。实现了 PHP 中的 __sleep 和 __wakeup 魔术方法的支持。实现了对所有标示(N、b、i、d、s、a、O、R、r、U、C)的反序列化,在对标示 a 反序列化时,可以根据下标和值来自动判断是 ArrayList 还是 HashMap。并且在反序列化时可以强制指定反序列化的类型。该类是静态类,无需也不能被实例化。除了包含了 serialize 和 unserialize 方法以外,还增加了一个 cast 方法,用来进行反序列化后的类型转换,该方法主要用于将反序列化后的 ArrayList 转化为数组或者 HashMap。

    标签: unserialize ArrayList PHP serialize

    上传时间: 2016-01-06

    上传用户:奇奇奔奔

  • n皇后问题求解(8<=n<=1000) a) 皇后个数的设定 在指定文本框内输入皇后个数即可,注意: 皇后个数在8和1000 之间(包括8和1000) b) 求解 点击<

    n皇后问题求解(8<=n<=1000) a) 皇后个数的设定 在指定文本框内输入皇后个数即可,注意: 皇后个数在8和1000 之间(包括8和1000) b) 求解 点击<Solve>按钮即可进行求解. c) 求解过程显示 在标有Total Collision的静态文本框中将输出当前棋盘上的皇后总冲突数. 当冲突数降到0时,求解完毕. d) 求解结果显示 程序可以图形化显示8<=n<=50的皇后求解结果. e) 退出程序,点击<Exit>即可退出程序.

    标签: 1000 lt 设定 输入

    上传时间: 2016-01-28

    上传用户:ztj182002

  • 1) A道和B道上均有车辆要求通过时

    1) A道和B道上均有车辆要求通过时,A、B道轮流放行。A道放行5分钟(调试时改为5秒钟),B道放行4分钟(调试时改为4秒钟)。 2) 一道有车而另一道无车(实验时用开关K0和K1控制),交通灯控制系统能立即让有车道放行。 3) 有紧急车辆要求通过时,系统要能禁止普通车辆通行,A、B道均为红灯,紧急车由K2开关模拟。 4) 绿灯转换为红灯时黄灯亮1秒钟。

    标签:

    上传时间: 2013-12-19

    上传用户:daguda

  • 编写一个用SOR法解方程组Ax=b的计算机程序

    编写一个用SOR法解方程组Ax=b的计算机程序,其中 要求程序中不存系数A,分别对不同的阶数(例如n=15,80)取w=1.7,1.8,1.9,进行迭代,记录近似解 达到 时所用迭代次数k,观察松弛因子对收敛速度的影响。

    标签: SOR Ax 编写 方程

    上传时间: 2013-12-25

    上传用户:wcl168881111111

  • 1、本网吧多媒体系统采用B/S结构

    1、本网吧多媒体系统采用B/S结构,使用安全方便,操作简单; 2、网站式浏览页面,清晰,人性化,观赏性强; 3、网页形式播放影片.让客户更有新鲜感; 4、提供强大的搜索系统,让客户更快更准确找到想要的影片; 5、采用 Access 数据库,提供智能化后台管理,双模式切换选择; 6、电影自动添加,减少网吧管理工作量; 7、本地内网架设WEB.高效,快速连接; 8、数据库自动备份功能,免去手动备份数据,更安全更省心; 9、增加上网者找查及求片功能,方便网管及时并准确发布新影片; 10、增加图片广告位及点播流媒体片头广告,增加网吧附带收入

    标签: 多媒体系统

    上传时间: 2014-01-16

    上传用户:evil

  • 一、实验目的 了解数/模转换器的基本原理

    一、实验目的 了解数/模转换器的基本原理,掌握DAC0832芯片的使用方法。 二、实验内容 1、实验电路原理如图36,DAC0832采用单缓冲方式,具有单双极性输入端(图中的Ua、Ub),利用debug输出命令(Out 290 数据)输出数据给DAC0832,用万用表测量单极性输出端Ua及双极性输出端Ub的电压,验证数字与电压之间的线性关系。 2、编程产生以下波形(从Ub输出,用示波器观察) (1)锯齿波 三、编程提示 1、8位D/A转换器DAC0832的口地址为290H,输入数据与输出电压的关系为: (UREF表示参考电压,N表示数数据),这里的参考电压为PC机的+5V电源。 2、产生锯齿波只须将输出到DAC0832的数据由0循环递增。

    标签: 实验 模转换器

    上传时间: 2016-03-12

    上传用户:ywqaxiwang

  • QTP全名Quick Test Professional,是MI公司出品的一款测试工具.它的优点是使用方便,功能强大并且可以通过与测试管理工具的互连达到自动化功能测试的目的. QTP是专门针对B/S模

    QTP全名Quick Test Professional,是MI公司出品的一款测试工具.它的优点是使用方便,功能强大并且可以通过与测试管理工具的互连达到自动化功能测试的目的. QTP是专门针对B/S模式的测试工具.它具有:识别能力强,回放精确等优点,是进行B/S模式下功能测试的首选工具

    标签: Professional QTP Quick Test

    上传时间: 2016-04-21

    上传用户:tyler

  • 1、二进制、八进制、十进制及十六进制数的加、减、乘、除、乘方、取模等简单计算 2、科学计算函数

    1、二进制、八进制、十进制及十六进制数的加、减、乘、除、乘方、取模等简单计算 2、科学计算函数,包括(反)正弦、(反)余弦、(反)正切、(反)余切、开方、指数等函数运行 3、以角度、弧度两种方式实现上述部分函数(对操作时计算结果能无限次随意进行角度和弧度转换) 4、具备历史计算的记忆功能(输入和计算结果表达式能查找历史表达式,如不存在放进历史表达式框中去,如存在则不做历史存储操作,并能从历史中找出来反射到输入框和输出框。) 5、对不正确的表达式能指出其错误原因

    标签: 计算 二进制 八进制 十进制

    上传时间: 2013-12-01

    上传用户:xjz632