虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

您现在的位置是:虫虫下载站 > 资源下载 > 技术资料 > 循环冗余校验码的单片机及CPLD 实现

循环冗余校验码的单片机及CPLD 实现

  • 资源大小:30 K
  • 上传时间: 2024-05-05
  • 上传用户:lipengxu
  • 资源积分:2 下载积分
  • 标      签: CPLD 循环冗余 单片机

资 源 简 介

循环冗余码校验(CRC)是一种可靠性很高的串行数据校验方法。介绍循环冗余码校验的基本原理,并分别用单片机和CPLD 作了循环冗余码校验的软件实现和硬件实现。包括汇编语言和VHDL 语言源程序。

相 关 资 源